中国光刻机明年可以达到世界较为先进的水平,开始迈入芯片强国吗?

使用CN2/CN2GIA顶级线路,支持Shadowsocks/V2ray科学上网,支持支付宝付款,每月仅需 5 美元
## 加入品葱精选 Telegram Channel ##

知乎用户 MrElie 发表

很多人说追不上,的确追不上,但是问题是,根本不需要追上。只要实现 28nm 成熟制程的去美化产线,就意味着全球芯片 70% 的市场份额被中国拿下。一增一减,美国体系的蛋糕小了,研发费用少了,中国体系能自我造血正循环了。只要实现 28nm 去美化,剩下的就是时间问题,美国不着急的话我们就慢慢追赶,但我估计真到那时候,大家就要坐下来谈判了,国资入股 asml,美国利用技术来卡脖子的事儿要说道说道了,怎么建立一个公平合理共同进步的半导体产业共同体也要好好说道说道。45 年国民党本有机会实现和平建国的,今天美国也在犯同一个错误,一家独大的地位守不住是因为东方的力量崛起太快,这种力量的转变你只能接受,要不就把我们揍一顿,揍服为止,就跟对小日本曾经做过的一样,现在美国是不愿意接受,同时也知道揍不了你,民主党就尽量拖着,叫以拖待变,万一你中国也颜色 gm 了呢,梦想还是要有的。共和党一些极右翼希望现在就能把中国揍趴下,趁着还有可能,但感觉国内也行不成共识。光刻机只是产业的一个问题,它只是今天中美关系众多问题里拿出来的一个子问题,解决问题之道并不完全在技术,也不是什么独立自主的问题,芯片产业是天然的全球化产业,这是一个 bully 和反 bully 的问题,是全球半导体产业是不是以公平竞争为原则的市场化导向的问题。

知乎用户 独孤剑二 发表

本来已经不愿再回答光刻机相关的问题,但鉴于部分网友随意散布无可靠来源的小道消息,外边已经越传越邪乎了。

飞跃式突破!第一台 28nm 国产光刻机交付在即

光刻机确实是国家重大科技专项 02 的项目之一。但是根据目前公开的信息来看,进展还十分有限,详情可以参考我之前的回答。至于 2021 这个时间点,我也不知道是从何而来的~~~

上海微电子十年研发经费只有 6 亿,人少钱少,光刻机进展缓慢,华为海思和中芯为什么不入股与其合研光刻机?

现在网上流传的所谓 28nm 光刻机的说法本来就是一个错误的概念。目前国家组织攻关的是基于 193nm ArF 准分子激光的干式和浸没式 DUV 光刻机,然而即使是当前最先进的 193nm ArF 浸没式 DUV 光刻机 ASML TWINSCAN NXT:2000i,其单次曝光的分辨率也只有 38nm 左右。

https://www.asml.com/en/products/duv-lithography-systems/twinscan-nxt2000i

至于 TSMC 为什么可以用 DUV 光刻机推进到 7nm 节点,除了使用多重曝光、光刻胶的选择性吸收,基于 EDA 的分辨率增强技术等,还跟当前先进制程的定义有关,具体不再赘述。

拥有先进光刻机不等于就掌握了高端制程工艺,这是一个被反复强调的话题。顶级菜刀在米其林三星主厨手里是屠龙刀,在不会烹饪的人手里就是废铁。SMIC 除了 EUV 光刻机外的设备不比 TSMC 差,只能做 14nm 是自身技术的差距。

就整个半导体和集成电路产业而言,我国的落后是全方位的。在半导体设备领域,除了 ASML 的光刻机,还有 Applied Materials 的 PVD、离子注入,Lam Research 的刻蚀,KLA 的光学检测设备等,都是先进制程工艺无法离开的。在设计领域,产业链顶端的 EDA 工具、基础 IP 等,我国的积累也十分有限,几近空白。

知乎用户 匿名用户 发表

能不能在 2022 年达到较为先进水平,我不敢说。但是以我本人参加其他国家项目的经验来看,迈入芯片强国一定是可以在几年内实现的。

中国的科研体制其实是很奇怪的存在,随着改革开放过往的举国体制已经肢解,但是影子还在。一个事情一旦成为国家战略,高层认可,那其研发速度绝对是超过普通人想象的。

本人曾经有幸参加过某些研发项目,去过哈尔滨的室内实验室,也去过云南的试验基地。特别是在哈尔滨,同一个试验池内我见到了不同比例,不同形状的各型舰艇模型。比如直通式甲板的航母,我就见过不止一种的设计方案。还有类似美国朱姆沃尔特的驱逐舰模型。

前几年院庆,展示了不同型号的无人机,铺满了一个广场。这还仅仅是我们系统设计研发的机型,其他系统研发的军用无人机就不知道还有多少了。阅兵的展示的那几种无人机,实际上就是举国体制研发的。不同系统的不同部门先期提供一个方案,之后汇总碰头最终确定几个备选方案,交给军方定夺。即使落选的备选方案,有时候也会继续研发,比如 J-31。

并且以我的经验来看,这种举国体制的研发不仅是体制内央企,国企的事情。很多项目都有民企的参与,并且参与度和热情一点都不比央企,国企低。很多时候国企还在进行讨论,民企就已经拿出了一套可以解决实际困难的方案。这其中不仅是单纯的经济利益关系,还掺杂了朴素的爱国情怀。我去过的一些民企,甚至每周都会组织升国旗,党支部的牌子挂的比国企还显眼。

所以对于芯片行业,我本人持有很乐观的态度。因为这件事是高层定下的国家战略,现在肯定是全国各大高校,各个央企,国企,民企在行动了。2022 年不一定能解决中国光刻机卡脖子的问题,毕竟技术差距是存在的,但是迈入芯片强国的事情一定不会太远。

知乎用户 Coldstream 发表

我从明年来的,还不行。

知乎用户 Messi 发表

这个问题咱们实事求是来讲,现在网上各种自媒体,知乎 er 的观点简直是让人绝望,1、跟光刻机领域不沾边的基本上是 “我坚信…” “可以的…” “原子弹造的出造不成这玩意?”。2、有些沾边的,似懂非懂的,“好像可以,又好像很难”。3、行业里的,我指的是真正懂光刻机的,不是指全部半导体领域,做工艺,做材料,做测试等都应该归位第 2 类,那么真的懂光刻机人瞬间就缩小为那么一小撮,这类人基本都认为,“可以,如果真的自研光源,物镜,超精密对准系统,光刻软件,超精密硅晶片,都可以,但是真的难于上青天”,而实际上,此时此刻,在全中国,跟这几个核心技术相关的高精尖人才,加起来绝对不会超过 1000 人,这还是往乐观了说。就比如光刻机软件吧,能做光刻机商用软件架构的,此时此刻,全中国还没有一个人,未来可以有,也一定会有,这个从无到有的过程就是我们要干的具体事情,但是,此时此刻没有,首先目前市面上还没有国产化商业的 专业工程设计软件,连 CAD 这种划划线的都没有,并不是我们不懂,而是商业化软件跟一般搞科研是不一样的,整个架构超级复杂,并且要经过客户很多年打磨补 bug 才能成熟,其次还要有光学、物理、材料这块的顶尖之顶尖人才,外加晶元厂才能配合一起完成这套软件。就单这套软件,如果完全靠本土培养的人才,就算国家倾尽所有,asml 拿一台光刻机给你练手,少则 3 年,多则 10 年。这不是在开玩笑,晶元厂有的测试软件是按天 license,也就是按天收费,过了 24 点,重新授权,可想而知。所有解决诸如此类问题,我们必然是通过各种渠道,引进现有经验来加速,但是不管能不能引的来引不来,加得了速加不了速,首先得知道这个事情本身的难度对吧,这样不管未来我们是快是慢,都不会骄傲自满或者妄自菲薄吧。

超精密对准系统的难度是几个核心技术中相对,注意是相对,低的,得益于机械领域比较好,比较长的积累,这个跟刻蚀机一样,很有难度,但没卡住我们。

光源,duv 用的 ArF 准分子激光器,属于大功率,超稳定 193nm 深紫外激光,由于不需要二次激发,收光系统难度可控,但极需要反复测试,就是设计、模拟、打样、测试、分析、改设计、打样,以咱中国人的拼劲,开始一轮至少半年,后面熟了也许能加速到 3 个月,难的是激光器的制造,虽然中国人在 1962 年也就是美国佬发明激光的第二年也搞定了,而且泵浦效率直接改进一倍(没什么值得吹的,确实也不难),毛主席还专门表扬过,但是在最后近 60 年的发展中,除了军用没落后之外,商业用激光器全面落后,军用和工业商用激光器的区别就类似于航天发动机和航空发动机的区别,不多说。现在发力,要达到当前最先进水平,实在是任重道远,这个难度可能只有比如长光所那几个做光源的老师和同学知道其中滋味。再说 euv 的光源系统,那这个难度是直接从王者变地狱,13.5nm 的极紫外光,再过去一点就是严格意义的 x 射线了,需要通过高功率的二氧化碳激光器激发熔融态锡滴,发生非线性多光子吸收后再通过自发辐射发出 13.5nm 光波,就这个 13.5nm 的光,全世界目前也找不出一种光学材料能够折射它,首先折射率基本都接近于 1 了,其次如此高能量的光子,还没穿透就被吸收了,甚至连空气都能把它吸收,所以 euv 光刻机里必须抽真空,还有就是这玩意已经快算是 x 射线了,天天照,被照射的材料也要能受得了,因此 euv 里无论是收光系统还是物镜系统,全都是采用镀有多层钼硅干涉型光学膜的反射镜,而不像 duv 一样还可以使用折射透镜。做是真的知道怎么做,其中原理并没有超出我们认知,甚至不能说在学术上有多大创新,但做不做得出来才是关键,是真的超级难,国内已经有很多研究,2017 年国家就验收了一项 “极紫外光刻关键技术的研究”,但是,各位要清楚这种验收,真不是黑,咱们目前科研体制就这样,诺奖都没有资格当院士,不要当真,有是有,1 和 100 的差别。所以,如果 5 年后,比如长光所掏出成熟 13.5nm 极紫外光源系统,你我都要顶礼膜拜,十叩九跪,但是如果十年甚至二十年再掏出来,也不要怪人家。

最后提一下物镜,同样的,duv 的物镜跟 euv 的物镜不在一个级别,其中之难不多言,你只需要知道,此时此刻,不谈别人,中国的工业体系不支持磨出能够达到 duv 镜片所要求精度,遑论 euv(除了磨还得镀比 duv 镜片高级的膜)。这个点不要有任何怀疑,因为几年前有比这低的多需求,最后是岛国搞定的,其实尼康佳能当年也搞不定卡尔蔡司的水平,卡尔蔡司公司发明的显微镜,磨镜片磨了 100 年,当年的技术傲慢的卡尔蔡司因为判断失误,导致差点丢失光刻机市场,彼时彼刻,浑身是梦的 ASML 说动了误入歧途卡尔蔡司,这一结合就是 30 年,最终一起打造了这套梦幻级别的离轴反射式极紫外光刻物镜。所以,结论与光源系统一样,我们也可以,但请想想我们要付出多少,这是定个目标,喊个口号,就能完成的?

依吾愚见,不明真相,盲目自信的舆论,说多了三人成虎。我们应该清楚真相,为科研工作者加油打气,同时给管理科研工作者的人施加舆论压力,提高这些家伙的待遇(利益无关哈别瞎 jb 猜),这么难搞还不给人涨工资,谁愿意搞,就算搞出来了大家都以为很简单,还有什么好搞的,躺平不好吗。

。。。。2021/07/01

2021/08/07 插播一段评论对话,思考良久,这真的是典型的徒喊口号,啥事不会干的,并且有严重阅读障碍症

金灿荣教授,在自己专业之下很厉害,但不是什么都可以瞎吹的。什么时候我们变得上了热搜,上了新闻就一定是正确的,被打脸的还少吗?

讲得非常好,没毛病,虽然全中国人都知道。当然还有很多精彩观点,我个人是非常认同的。

然后

极具隐蔽性的是他说的是工业产值,而不是国民生产总值,却没有特意强调,结果引导的大部分人以为是说类似 GDP 指标,以至于引得全民高潮自嗨。他去年在一个视频里说的中国 2020GDP 的问题,我印象非常非常深刻,只是找不到那个视频了,那是个普通视频,其他说的都没什么毛病,就是胡说八道的预测突然让我很震惊,不过估计也是为了传递国人信心,但实在有点扯。

“美国工业产值会变得跟广东省相当,日本跟浙江省相当,澳大利亚跟杭州相当,新西兰给他个余姚区的名分吧,我只能告诉你这天会到来,具体哪天你不要问,反正是个早晚问题,要真实现了,我牛比封神。没实现?那不关我的事,又不是我去实现,肯定是要去实现的那帮人出问题,我反正只负责吹牛 B,又不用负法律责任,万一真实现了,而我又没有提前吹,那不是亏大了 “

————————————————- 分割 1—————————————-——————

我举的 CAD 软件的这个例子不够准确,国内这些年发展不错,很对朋友对此进行了纠正,不熟悉的东西不应该乱讲。例子虽然不准确,但是光刻机软件确实跟这不是一个级别。

————————————————- 分割 2—————————————-——————

很有意思,评论总体分两派,一派是从事半导体行业的,全部是抱着一颗敬畏之心,但同时也有决心的,另一派基本就是上来乱打一通,很快啊,不过我完全不想接。还有一部分很可爱,很想知道我到底说的对不对,不管是要反驳还是赞同,至少是会基于事实,但是因为不那么了解,所以挺着急的 。本来我是计划拿把光刻机里面涉及的一些相关科研论文、专利列出来,我来做总结不用担心看不懂。这样就有一个了解,大概的历史以及最新的进展,因为我认为自己懂不算真本事,让别人也能懂才是真厉害,就像相对论最后能放在普通高等教育课本。但这个工作量真的比较大,最近没有时间做这个事,很惭愧。我想做这个事的另外一个原因就是,对于基础自然科学来讲,越了解一个事情就越敬畏,因为这些自然规律客观的令人绝望,就比如引力常数不会因为全世界人民的虔心祈祷和不懈努力而发生一丝丝改变,所以当了解真相或者说事实之后,我想看看有多少人的思想会随之改变呢,要改变一个人的想法是很难的,这其中还有很大一部分是面子问题,就比如反相对论的老师,我最近去翻了他的论文,上来就抛出来说声速不变,那公式里面的光速不就全部改成声速了,结果就有多种可能了,爱因斯坦不就有大大的问题了?你说他不对,他说科学就是用来质疑的,凭什么不能说不对,你说他对,又觉得玩笑开大了。转念一想,他说的这些其实是因为只基于一知半解的知识,而不是全知全解,甚至看到这里的某些同学已经在想声速在空气中就是 340m/s,是不变的呀,好像没错啊 。我说那么 OK,它在水中速度就不是 340m/s,你又说了,那光速在水中和空气中也不一样啊,你为什么说光速不变。那么我就说,我们来探讨一下麦克斯韦电磁理论吧,那么好了,你说:我不听我不听 不对不对……。所以 不实事求是有多大危害呀。

我还是对我不能把更多信息整理出来表示抱歉。

————————————————- 分割 3—————————————-——————

asml 先进半导体材料光刻技术公司,1984 年成立于荷兰,起点豪华,由飞利浦和 asm 合资成立,实际上并不像 asml 在公司自传中描述的那么凄惨,它继承了飞利浦光刻机部门最核心的对准技术,在很大程度上依靠此技术在 90 年代竞争中脱颖而出,初期得到两大股东和政府的资金支持,并保持和卡尔蔡司三十年的紧密合作,同时在 30 多年的发展中,得到了包括美国政府,顶级半导体公司以及全世界最先进产业链的支持,从来没有一天,为哪怕一颗螺丝钉被别人卡脖子而劳过神。 相比之下,日本光刻机良好的发展势头被美国政府毫不保留地,全面地扼杀在树苗期,完全退出高端光刻机。更不用说中国,在种子时期,土壤就被撒了毒药,期间还出现汉芯这种雪上加霜的事情,好不容易雨水稀释了一些,正要发芽的时候,又有人想把土壤都给挖走。这根本就是金汤匙和窝窝头的对比。

知乎 er 的水平下限再次刷新…

————————————————- 分割 4—————————————-——————

还是抽点时间展开来讲吧,我相信 EUVL(极紫外光刻) 对于 99.9% 的人是很神秘的,博士和本科生最大的差别就是,面对一扇门一个走进去了,一个留在门口,不进去永远不知道门里面是什么,不管里面是风雨还是彩虹,永远只会觉得神秘。

我们来以 2020 年最新综述文章起头,为了便于所有人阅读,找了国内的文章,当然老外现在基本上也不写这方面的综述了。首先,我们来看看引言部分,我把重要的段落贴上原文,废话不多说。

" 宗楠, 胡蔚敏, 王志敏, 等. 激光等离子体 13.5 nm 极紫外光刻光源进展 [J]. 中国光学, 2020, 13(1)."

  • 原文 1:通过瑞利公式可知,减小工艺因子常数 k,增大光学系统的数值孔径 NA 以及减小曝光光源的波长 A 均可以使最小线宽 (节点)d 变小。然而,前两种方案的技术难度越来越大,人们几乎已经将其做到了极限。所以,通过缩短曝光波长 A 来减小线宽已成为目前光刻技术的主要研究方向。

小结:有 3 种缩小光刻尺寸的办法,其中 2 种已经逼近过程极限,第 3 种是目前的正道,也就是使用波长更短的光。

2

  • 原文 2: 在光刻技术的发展历程中,科研人员们不断地在探索更短曝光波长的可能性。上世纪 80 年代至 90 年代初期,光刻主要采用高压放电汞灯产生的波长 436 nm(G 线)和 365 nm(I 线)作为光源。汞灯普遍应用于步进曝光机,从而实现 0.35um 的特征尺寸 b J。自上世纪 90 年代中期后,深紫外光刻技术 (Deep Ultraviolet lithography,DUVL) 开始逐渐占据光刻技术的主导地位。工业上开始使用深紫外波段 (DUV Ultraviolet,DUV)248 nm 的 KrF 和 193 nm 的 ArF 准分子激光器作为曝光光源 M。随后,当光源发展为 157 nm 的 F2 准分子激光器时,由于光刻胶和掩模材料的局限,使得 157 nm 光刻技术受到了很大的限制。研究人员们发现充人浸没液后,193 nm 光源等效波长小于 157 nm。另外 193 nm 光刻机技术相对成熟,开发者只需重点解决浸没技术相关的问题,因而采用浸没技术的 193 nm 光源逐渐取代 157 am 光源继续成为主流技术 “J。目前,荷兰 AdvancedSemiconductor Material Lithography(ASML) 公司于 2018 年生产的 NXT:2000i(采用 193 nm 光源)产品为现有最高水平的 DUV 光刻机,其分辨率为 38 nm。NXT:2000i 结合多次曝光套刻技术可将线宽缩小至 7—5 nm。此外,NXT:2000i 是 ASML 旗下套刻精度 (Overlay) 最高的 DUV 光刻机产品,其数值可达 1.9 nm5 am 节点要求 Overlay 至少为 2.4 nm,7 nm 节点要求 Overlay 至少为 3.5 nm。但是由于多次曝光套刻技术过于复杂,使得生产成本大幅增加,而器件的产量却大幅降低。可以看出,DUVL 技术已经达到极限,研究人员们很难再将其所得到的线宽缩至更小的范围。

小结:短波长的进化历史,

1980~1990 年代,波长 436nm~365nm 为主,实现 350nm 的刻蚀尺寸;

1995 年后,波长 248nm 和 193nm 开始主导市场,而后开始打磨 193nm,期间出现 157nm 的波长(其实该光源是日本人主推),被大神林本坚用简简单单的纯水给打败了,加了水在物镜和 wafer 之间,相当于波长变成大概 130nm,而且配套的其他东西不用怎么改,直接把日本人干趴下。那个时候故事非常有意思,有点类似于战国时期,一个简单的选择,就决定了整个历史的走向,当时林本坚是找过日本人的,日本人在 157nm 上投入了巨多,加之日本人一贯的保守,不愿放弃,而 ASML 则没有什么包袱,日本人兵败如山倒,客户流失,研发亏损巨大,加之美国的打压一蹶不振,ASML 则摧枯拉朽般一路登顶,这一事件直接影响了光刻机市场的走向,也深刻影响了半导体产业今后的格局。试想,如果日本人接受这一建议,走对了方向,那不仅是光源,物镜日本人也能做出来,就不是蔡司一家了,其他也一样,再加上比如强无敌的材料,过去 30 年的历史则必然是另一番景象,不过历史有偶然也有必然,也不像我们想的那么简单,没发生的就不是历史。

2018 年,对 DUV 的打磨从未停止,ASML 推出了型号为 NXT2000i 的至尊版 DUV 光刻机,其套刻精度(所谓套刻就是通过反复光刻来达到想要的尺寸)已经达到 5nm 工艺节点所需要的精度,但工艺复杂度和良率远不如 EUV, 性能 / 价格 EUV > 至尊版 DUV > 平民版 DUV。所以实际上,如果 ASML 没有推出 EUV,用至尊版 DUV,今天还是能生产出 5nm,只是会贵上不少。

至此,193nm DUV 的极限已被榨干。

ASML 的至尊版 DUV,可以看到使用的仍然是传统的透射式光学系统

ASML 的 EUV,采用反射式光学系统(炫技效果拉满),第一张图片可以感受一下这是有多大,见过一次 DUV,很有压迫感。

找时间继续更新。。。(21/07/21)

————————————————- 分割 5—————————————-——————

接上次继续,今天开始 EUV,同样先来研究一下历史发展。

  • 原文 3:EUVL 技术于上世纪 80 年代末由美国和日本的相关研究人员提出,他们指出用波长为 10—30 nm 的 EUV 光作为光刻机的光源可以大幅缩小 ICs 的最小特征尺寸。随后,一些国家的公司和研究机构对 EUVL 的发光原理,实现过程以及工业化生产等方面进行了大量研究。如:国际著名公司 (如:Intel,Gigaphoton,ASML 等),著名研究机构(如:美国 Sandia National Laboratory(SNL),Lawrence Livermore National Laboratory(LLNL),Lawrence Berkley National Laboratory(LBNL);日本产业技术综合研究所等) 以及许多知名大学 (如:美国普渡大学,加利福尼亚大学;日本九州大学;瑞士苏黎世联邦理工学院等)。经过近 30 多年的研究,EUVL 技术获得巨大进展,ASML、Intel 及 Nikon 等公司均有 EUVL 演示样机的报道” 但目前仅 ASML 有在售产品。
  • 国内对 EUVL 技术的研究起步较晚,主要是由中国科学院和部分高校的一些团队在进行相关研究工作。中国科学院长春光学精密机械与物理研究所 (简称长春光机所) 对 EUVL 的研究较早,自上世纪 90 年代末就对 EUV 光和 x 射线成像技术进行了相关研究。国内第一套 EUV 光刻原理装置是于 2002 年由长春光机所研制出来的,该款装置的出现标志着我国实现了对 EUVL 原理性的贯通。2008 年,国家科技重大专项 (02 专项) 将 EUVL 技术列为 “32—22 nm 装备技术前瞻性研究” 重要攻关任务,长春光机所为 “极紫外光刻关键技术研究” 项目的牵头单位。该项目研究团队经过 8 年的研究,最终研制出线宽为 32 nm 的 EUV 光刻投影曝光装置。2017 年,“极紫外光刻关键技术研究”项目通过验收。此外,中国科学院上海光学精密机械研究所的蔡懿等人,长春理工大学林景全课题组,哈尔滨工业大学李小强等人⋯以及华中科技大学、同济大学等相关课题组。m1 均对 EUVL 的靶材选取、驱动光源设计、碎屑处理系统等装置进行了理论和实验研究。

小结:1980 年代末,老美和日本人率先提出有机会创造出 10nm~30nm 的可用光源,因特尔等行业大佬,美国的 3 个顶级科研机构,美日欧的大学都对此展开深入研究,最后只有 ASML 成功商业化。论文里没有展开背后的故事,这里简单补充一下,1997 年,处于金字塔顶端的 Intel 很自然就预见了 DUV 的极限,也就是摩尔定律的极限,于是 Intel 跑去游说克林顿政府,在被 Intel 一顿‘忽悠’后,由美国能源部出资,Intel 牵头,以公司的形式成立了 EUV LLC. 联盟,并邀请了美国一众大佬,例如摩托罗拉、AMD,欧洲的英飞凌,ASML,以及日本尼康等公司加盟,当然,美国的几家顶级科研机构也在列,随后美国政府果断将日本公司从 Intel 给出的名单中剔除(实际上,任何政府在了解到实际情况前提下,都会选择这样干,这就是国家利益,这实在是无可厚非,就像中国空间站不可能标注英文),并让加入的欧洲公司签下一系列条款,彼时彼刻,对 ASML 这样的公司来讲,根本不可能不答应,甚至偷笑。但在当时,这无疑是一项艰巨的开拓之路,极大可能像其他前端的研究一样,最后没有结果,至少从日本方面的成果来看,是毋庸置疑的,尼康从 80 年代开始到 00 年左右都还一直在投入这方面的研究。这里面有很多因素,只能说当时的 EUV 联盟是做足了的准备,政府直接的财政支持,而且是直接给到 Intel,暂且认为没有中间商赚差价;行业内世界最顶级的公司;最强的科研机构和工程团队。有了充分的准备,能不能成,就真的看天了。再困难的事情,只要努力,齐心协力,方向正确,结果都不会差,但在一开始大家心里都是没底的。经过大概 6 年的时间,在 Intel 的‘鞭笞’下,科学家在 EUV 光刻机的几个核心技术领域进行了深入探索,银子也花完了,最后大家得出结论,各项探索很成功,技术可行性基本被验证,接下来就要进行技术整合,也就是工程化,还要钱。好了,美国政府也不傻,一方面这东西花了这么多钱也没给我搞出个原型机,根本就是无底洞嘛另一方面,那是你民主党的项目,我共和党可不再给你买单,别再想从我这里再拿一分钱了。其实那时候 Intel 自己也是没有底气的,其他大佬公司也不太可能出钱,那个时候,就是觉得不太可能做的出来,但又还是抱有一丝希望,只是谁也不想接,因为基本都不是自己的主营。而 ASML 则是‘接锅’的绝佳人选,ASML 本身也是非常想接锅的,因为一方面自己就是干光刻机的,最重要的是这个技术太诱人了,虽然风险大,但做出来就能躺平一辈子,成为制造业的 ARM 不是梦(他们技术支持出差都是按小时收费的)。于是,2003 年左右,ASML 把成果承接下来,继续干,在接下来的 10 年里,ASML 预研主要投入两方面,一个是 18 寸晶圆项目(面积是目前 12 寸的 2.25 倍),一个是 EUV 光刻机项目,这都是相当烧钱的。一般会想,12 寸到 18 寸,只不过面积增大了,而 EUV 的几大核心难题听起来就不太可能,所以肯定 18 寸项目更有前途。没错,当时的三巨头,也就是牙膏厂,三丧和湾湾电也是这样想的。在经历了 15 个年头,也就是在 2012 年左右,ASML 熬不住了,实在没钱烧了,找三巨头要钱,在向他们展示了一台问题极多的实验室原型机,并表示愿意‘忍痛割爱’25% 股权后,三巨头微微一笑,认购了总计 23% 股份,牙膏 15%+ 湾湾 5%+ 三丧 3%(放弃了 2%,本来 3% 都不想要),并且一大部分是用于投资 18 寸项目。

实际上,三巨头不是很想投资 EUV,他们都是非常清楚 EUV 项目的历史的,基本都抱悲观态度,觉得实在是看不到底,他们看好的是 18 寸项目,如果只有 EUV 项目,这个投资还是要打一个大大的问号,但是 ASML 还是非常坚持看好 EUV(只有真正懂的人才知道价值),态度也很决绝,我个人猜测 ASML 的信心和决心还是让大家看到一定希望,一定程度的感染了三巨头,毕竟格局还是在。3 年后,时间已经来到 2015 年,EUV 样机还是问题多多,18 寸项目难产,锁定期到了,大家减持的减持,退出的退出,湾湾抛的一点不剩,不过虽然如此,这笔资金还是帮助 ASML 渡过难关,只不过千万不要以为像有些自媒体胡说八道的那样,什么三巨头高瞻远瞩,共创佳话的。虽然台积电抛掉了股票,但是一直都和 AMSL 合作紧密,打磨工艺。时间来到 2017 年,终于,在经历了无数磨难之后,EUV 光刻机迎来了曙光,正式投入商用,在又经历 1 年的磨合之后,于 2019 年开始为苹果量产制造 7nm 的 A13 系列芯片,以及 2020 年 5nm 的 A14 系芯片,同时将为摩尔定律续命 10 年,这一刻,30 年的梦想终于实现了。

读到这里,我想问,ASML 和 EUV 的故事给了我们什么启示呢?还是先来看看我们自己的情况吧。

我们是从 90 年代末才开始对这块投入,对一下时间线,也就是 EUV 联盟成立的时候,一般来讲像 EUV 联盟成立这种事件,必然会在科研界掀起一小波潮流,所以我们也从那时候开始完全说的通,主要是科研院校,完全没有公司参与。然后我们回想一下,新世纪的开始正是我们国家经济腾飞的时期,为了发展经济我们还是牺牲了很多,别说这种东西,比如国防开支都是紧巴巴的,连西方都觉得不靠谱的东西,我们不可能会在这种项目重大投入。所以时间也来到 30 年后的 2017 年,ASML 的 EUV 正式商用,而我们则也通过了一项代表性的研发成果,

【中国科学报】“极紫外光刻关键技术研究” 通过验收 —- 中国科学院

这是中科院官网 2017 年 7 月份的报道。此时,一切还风平浪静,美国第一次挥向中国中兴通讯公司的制裁大棒还要 10 个月才会落下,但从 EUV 商用的那一刻,美国人在半导体产业对全世界的封锁方案,相信已经一箩筐了,要知道,三星,台积电,Intel 不用说,这都是美国财团控股,中国没有退路。

。。。。21/07/24

————————————————- 分割 5—————————————-——————

还是有朋友在抓着我举的 CAD 软件的例子不放,本来想修改答案,但是我觉得没必要,人无完人,事情也一样,虚心就对了。但我发现实际上很多人只是知道有这样的国产软件,并不了解背后的情况,不过这激发了我的兴趣,这其中肯定有许多坎坷和经验值得学习,如果有哪位知道国产 CAD 的历史,要比较清楚的,麻烦回复告知一下,以正视听。

好,继续正题。今天开始研究核心技术,国内的进展和研究成果放后面说吧。

极紫外光刻的核心———光源技术

原文:为满足极紫外光刻需求,其光源应具有如下性能: (1) 输出功率达百瓦量级,且功率波动小;( 2) 较窄的激光线宽; ( 3) 较高的系统效率; ( 4) 可接受的体积和重量; ( 5) 可长时间、高可靠性运转; ( 6) 维修、维护成本低; ( 7) 低污染。目前,主要有 4 种方案可以获得 EUV 光源,分别是: 同步辐射源、激光等离子体 ( Laser ProducedPlasma,LPP) 、放电等离子体 ( DischargedProduced Plasma,DPP) 和激光辅助放电等离子体 ( Laser-assisted Discharge Plasma,LDP) 。选取哪一种方案,并如何运用该方案以大幅提高 EUVL 光刻机光源的功率来满足大规模工业生产 ( HighVolume Manufacturing,HVM) 的需要成为世界各国所必须攻克的主要难题之一。

小结:商业化 EUV 光刻机,注意是商业化,不是搞科研。核心技术之一的光源,要满足:功率更大,稳定性更好,效率不能低,体积重量可控,可靠性好,易维护,还要无污染。综合来讲,极紫外光很难获取,目前主要有 4 种方案。

需要指出的是其中第二行第 2 点 “较窄的激光线宽”,对此我是有疑问的,这样表述应该是不准确的,实际上 EUV 用来光刻的光不是激光,而是由激光激发的二次发光,虽然极紫外光的光谱宽度也很窄,但这种光一般不是激光,而是跟我们普通灯光类似的, 由自发辐射产生的非相干光,激光是受激辐射的相干光(听不懂的略过,不影响,如有不对,请指正)。所以文章指的应该是用来激发极紫外光的激光,而不是最后用来光刻的光,目前使用的激光器是二氧化碳激光(波长 10.6 微米)。

原文:同步辐射源的优点是可以产生高功率的 EUV 光,而且它对光学原件无碎屑污染,故可以长时间稳定地输出 EUV 光。但是,过于复杂和庞大的装置构造以及极其高昂的造价等都表明同步辐射源并不适用于 HVM 生产。LPP、DPP 和 LDP 都是通过高能量束使靶材产生较高的温升,从而产生高温、高密度的等离子体并发射 EUV 光。虽然它们的形成方法有所差异,但却可以使用相同靶材。

小结:第 1 种光源技术叫做,同步辐射源,优点:功率高,对光学元件无污染,稳定。缺点: 系统太复杂,体积非常大,成本相当高昂。

简单解释一下同步辐射源,高能(也即高速)带电粒子在磁场左右下会发射电磁波,最早是在粒子加速器上观察到的这一现象。因此可以利用这一特性来产生极紫外光波,但是要让粒子辐射满足要求的光波,必须要接近光速,大家想想粒子加速器是多么巨无霸。。。这东西如怎么用来做光刻机光源,这个问题本身就已经是一个究极课题了。。。所以想想就好

长三角平台大科学装置详情: 国家同步辐射实验室

原文:LPP 是以高强度的脉冲激光为驱动能源照射靶材,使靶材产生高温等离子体并辐射 EUV 光。图 1 是激光等离子体产生 EUV 光的示意图。其中,采用数十千瓦功率的激光从一圆孔进入打在液滴 Sn 靶上,产生的极紫外光通过多层介质膜反射镜反射汇聚在中心焦点 (Intermediate Focus,IF) 处。

小结:第 2 种光源技术叫做,激光等离子体(LPP),用几万瓦瞬时功率的激光轰击等离子材料,比如熔融的锡滴,锡滴会被激发出极紫外光,再用一个弧形反射镜来收集这些光,如图 1。

原文:DPP 是将靶材涂覆在阳极和阴极之间,两个电极在高压下产生强烈的放电使靶材产生等离子体。由于 Z 箍缩效应,当洛伦兹力收缩等离子体时,等离子体被加热,产生 EUV 光。图 2 是放电等离子体产生 EUV 光的示意图。其中,靶材也为 Sn 靶。Sn 靶后面为一组叶片,即所谓的 “箔片陷阱”,可防止 Sn 碎屑到达叶片后面的收集器 ( 即反射镜) 而使其被污染。最后,EUV 光汇聚于 IF 点。

小结:第 3 中光源技术叫做,放电等离子体(DPP),把金属,例如锡,涂覆在电极两端,加高压,这样两极之间也会产生等离子体,并且会发生箍缩效应,简而言之就是这些等离子体因为某种效应能量变得比较高,产生自发辐射,从而发出极紫外光。但是要注意,如图 2,这种方法会产生锡的废屑,可能飞的到处都是,万一飞到光学元件上,积累起来就完蛋了,所以要在光学元件和电极间加一个阻隔,反正你只要知道这个阻隔的东西也不好做就行了。

原文:LDP 是将 LPP 与 DPP 结合起来,先用脉冲激光照射靶材,使靶材细化,再运用 DPP 技术放电使靶材产生 EUV 光。

小结:第 4 种技术叫做,激光辅助放电等离子体(LDP),就是第 3 和第 4 种方法的结合,没啥好讲的。

以上 4 种方法,第 1 种 想想就好;第 3 种,不仅光源本身易损坏,而且可能损坏光学元件,也不是很稳定;第 4 种,因为要结合第 3 种技术,所以第 3 种有的问题,它也有;综合来看,第 2 种,激光等离子放电是最可行的方案,ASML 正是采用这种方法。虽然我们知道了结论,但是这个探索过程长达十数年,不要以为简单。我查了一些文献,仅对靶材锡的使用方法,就做了很多的研究,最后才收敛为用熔融锡滴的形式。

ASML EUV 光刻机光源,锡滴被激光激发的瞬间

。。。。21/07/30

————————————————- 分割 6—————————————-——————

原文:多层膜反射镜由于光子能量极高的 EUV 光几乎可被所有介质所吸收,EUV 多层膜反射镜作为光学系统的重要元件成为了 EUV 光源的一项关键技术,需实现 EUV 波段的高反射率。近年来,科研人员们通过研究发现,采用 Mo /Si 多层膜制备出的反射镜对中心波长为 13. 5 nm、光谱带宽 (Band-width,BW) 在 2% 以内 EUV 光的反射率可达 70%。通过将 Mo 原子和 Si 原子交替排列,可使 13. 5 nm 的 EUV 光在其中发生干涉,从而得到较高的反射效率。

小结:核心技术之光学薄膜。之前讲过,极紫外的光子能量很高,由光电效应我们知道,光子能量越高,粒子吸收光子时能跃迁的能级就约多,因此能被各种物质吸收的概率也越高,到了极紫外光,连空气都会把它吸收,更别说玻璃之类的。为了不让光被吸收,肯定不能用折射系统,那只能用反射系统,普通的金属反射镜也是不行的,也会被吸收很多,而且光学系统有好多块反射镜,如果反射率低了,那反射级次能量就没了。那么好了,在光学领域还有个干涉光学,高中物理简单讲,利用光学干涉 加强的特性,可以让反射率变高,而且可以镀介质膜,薄膜光学的基础知识告诉我们,通过高低折射率搭配的膜层,就可以让反射率变得很高,而且膜层数越多,反射率越高,但也不能太多,因为镀的层数越多,工艺越难。

所以 EUV 中的镀膜技术其实是很难的,并不是因为不会设计,而是对工艺要求实在太高,大家做了很多研究,为此我专门找了文章中引用的一篇文章来给大家举个栗子,

“Pelizzo M G , Suman M , Monaco G , et al. High performance EUV multilayer structures insensitive to capping layer optical parameters[J]. Optics Express, 2008, 16(19):15228-15237.”

这是 2008 年的发布在美国光学学会《光学快报 optics express》一篇文章,是意大利的一个大学和研究机构做的,以下是原文链接

https://www.osapublishing.org/DirectPDFAccess/569FC6AC-0D5F-4B2A-97F98EF9617B750C_172022/oe-16-19-15228.pdf?da=1&id=172022&seq=0&mobile=no

为了简单说明问题,把人家总结看一下,估计也没什么人会去看全文,这篇文章我看了,写得就是 EUV 光刻机里面用的镀膜技术,没那么神秘,有兴趣把引言部分读一下的话,就能大概了解 EUV 镀膜的发展。实际上,要研究一个课题,可以从一任何一篇相关论文开始把根都给挖出来,但这是需要巨大努力的,比如我们现在正在做的事情。

这篇文章看起来已经到了 EUV 镀膜发展中后期的研究了,文章改进了薄膜设计的方法,用钼 / 非晶硅膜层对作为主要构成(现在用的就是这个构成,也研究过钌 / 钼,铂 / 钼等组成),同时分别用钌 / 钼、铂 / 钼和非晶硅 / 钼 加镀了覆盖层 (capping layer,这是创新),发现这样做可以降低工艺的要求,也就是能够容忍更多的工艺误差,同时还能保持反射率。文章中提到,为了核实稳定性的结果,中间有一段 6 个月的跨度,就是做出来 6 个月后再测一下性能,这就是科学的严谨性以及不能急躁,想想如果失败了相当于一轮实验从概念到验证结果就是将近一年,杂志的平均接受时间 3~5 个月,还有写文章时间,相当于做完这个实验硕士就毕业了,博士做 2~3 个就很了不起了。当然,我们绝大部分中国的科研人员都是很棒的,是创新、严谨、有耐心的,只是有一两颗老鼠屎而已。

如图,横坐标是波长,纵坐标是反射率,结果表明即使镀了干涉薄膜,单镜片 EUV 的反射率也就在~ 70%,这跟目前的结果是相符的。实际上,有光学干涉加强的方式来做反射,并且达到 70% 已经是上天恩赐了,否则目前还想不到更好的办法来收集 EUV。

。。。。21/07/31

————————————————- 分割 7—————————————-—————

拿出书本,翻到上一课。。。

上一课讲到,13.5nm 的光波在最先进光学干涉薄膜的加持下,反射率也只能达到 70%,那么我们来一个逆向工程,

**

**

如上图,这是 EUV 光刻机的光学系统,分为 4 个部分,我们来看一下总共有几个反射面,

EUV 收集系统(1)+ 照明系统(4)+ 掩模板(1)+ 成像系统(6)=12 个,

总反射率 =(70%)^12=1.38%,

也就是 2% 不到的效率,但这已经算高的,所以还得把激光能量加大,这就是为什么需要大功率激光的原因,如果反射率能够到 90%,那对激光功率的要求就降低为原来的一半,但相比于提高反射率,增大激光功率看起来更现实,还有一个可能就是降低反射镜的使用数量,不过要达到 EUV 的光刻要求,这已经是设计的极限了,4 反的物镜系统还达不到要求,8 反,10 反的对激光要求又要提更高。有了以上分析,加上光刻胶对光能量的要求,以及锡滴的转换效率,那么我们就可以得到对激光器功率规格的要求。

有很多人一直在争论原子弹难还是光刻机难,这实际上是不同的两个领域,简单来讲,从 0 到 1,原子弹难,从 1 到 100,光刻机难,从 0 到 1,第一台光刻机的难度跟这个 EUV 光刻机比,那能比吗。而需求就是,原子弹有 1 就行,光刻机得 100。光刻机是商业化产物,如果仅仅是做出来而不考虑量产,那比 EUV 光刻机还牛的设备还好些呢,比如 eBeam,电子束直写,你要多少纳米都给你刻出来,但如果你真拿它来量产,那估计到现在 iPhone 4 的手机芯片都还没生产完。

再举个栗子,第一颗原子弹用了大概 60Kg 左右的浓缩铀,但只有约 1kg 的铀参与了裂变反应,而其中只有 0.6g 的物质最后湮灭成能量,利用率~ 0.001%,跟 euv 光刻机比还差了 1000 多倍,但这就足够了,不过要记住这是不计成本的方式。所以凡事不能绝对来比。

回到论文,EUV 光源 CE(EUV.CE) 的提高

原文:对于商业化大规模生产的 EUV 光刻机,如何在降低成本的情况下提高晶圆的生产率是一个极为重要的问题。到目前为止,根据 ASML 公司 2017 年所生产的最新 EUV 光刻机设备 NXE:3400B 的参数可得,在实际光刻生产中,该款设备每小时操作的晶圆数目可以达到 125 片以上。这就要求 EUV 光源在进入光刻系统以前,IF 点的输出功率必须在 205 W 以上。目前为止,ASML 公司和 Gigaphoton 公司的 EUV 光源设备均可输出 250 W 较为稳定的 EUV 光,最大值甚至可以达到 375 W。然而,相较于 EUV 光刻机高昂的成本而言,这样的生产效率和输出功率仍然有较大的提升空间。因而,找到如何能够有效提高 EUV 光源 CE 的方案已成为了 EUVL 的一个重点研究方向。光源的 CE 值是指 EUV 输出能量除以输入激光能量并换算成百分数后所得到的数值。目前,提高 cE 的途径主要有以下几种:(1) 优选靶材组份及形态;(2) 优选激光源;(3) 采取双脉冲的方案。

小结:光源的转换效率有不断提升的空间和必要,(CE,conversion efficiency,指 EUV 输出能量 / 激光输入能量)。目前,每小时需要能刻 125 片晶圆,就要求光从收集系统出来要达到 205W 功率,ASML 和 GP 公司能稳定 250W 的功率,最高能到 375W。提高效率的途径有三种,1. 靶材;2. 激光源;3. 激发方式。

解释一下,光功率越高,光刻胶的光化学反应越快,需要的光刻时间也变短,单位时间就可以刻更多的晶圆。

原文:选择中心波长为 13.5 am、2%带宽内的 EUV 光作为光刻光源是由 Mo/Si 多层膜反射镜的特性所决定的,而能在此波段发出 EUV 光的靶材有很多种。研究人员通过相关的理论和实验研究发现,氙 (xe)、锂(“)、锡(Sn) 等为该波段范围内的主要靶材。通过仿真计算的方法可以得到 11 镜系统在不同靶材 (sn,u,xe) 中近垂直入射方向的反射率。其中 Sn 在 13.5 am 波长处的反射率占比最大。最初,人们比较关注 “靶∞1。锂的类氢离子“2 + 的 Ly。跃迁恰好与波长为 13.5 tim 的 EUV 光谱相对应。可是当稳态“等离子体处在高温的环境下时,会有极少量的“2 + 离子处于电离平衡态,也就是说,等离子体仅由剩余的原子核和自由电子组成,并且无任何谱线发出。T.Hi—gashiguchi 和 A.Nagano 等人的研究表明,基于 LPP 的 Li 靶产生的 13.5 nm.EUV 光的 CE 只有 1%一 2%左右。较低的 CE 表明,b 靶并不能作为 EUVL 光源中的最佳靶材。随后,人们又对 xe 靶做了相关研究。因为 xe 靶是清洁能源,所以它具有不产生碎屑,对光学系统损伤小,可以长期工作而无需更换光学元件等优。然而通过实验可以发现,基于 LPP 的 Xe 靶产生 13.5 am.EUV 光的 CE 仅有 1%左右,主要由 xe 元素的一种离子 xel0 + 在 4d8-÷4d 75p 的跃迁产生,除了较为低下的 cE 外,xe 的光谱纯度也较差。最后,基于 LPP 的 Sn 靶在 13.5 am,2%带宽内的 EUV 来源极为广泛,主要由 Sn 等离子体中的高价态离子 sn8+.snl2 + 跃迁形成,相关文献给出了 Sn8+、Sn9+、Snm+、Snll + 离子的 EUV 谱线跃迁图。目前,sn 的 EUV—CE 值可达 5%。6%。研究人员发现固体 Sn 靶几何形状的差异对 EUV 辐射也有很大影响。因此,人们对包括平板形靶、限腔形靶、球形靶、空腔形靶、纳米结构靶、液滴形靶在内的固体 Sn 靶进行了相关研究早期,人们以平面 Sn 作为靶材。然而,用激光照射平板 sn 靶,会造成被激光光束聚焦中心照射部分靶材的温度远高于周围其他部分。而由于存在较大的温度梯度,中心部分的等离子体膨胀速度快,周围部分的等离子体膨胀速度慢。速度较慢的等离子体会对速度较快的等离子体所在的区域,也就是 EUV 发射主导区域(Emission Dominant Region,EDR) 所发出的 EUV 光存在较为强烈的吸收,进而影响 EUV—CE。针对平面靶材的这一缺点,2003 年,T.Tomie 等人通过使用双脉冲照射 sn 的限腔形靶并在入射激光相反的方向收集 EUV 光。该方案证明了限腔形 Sn 靶相较于平板 Sn 靶具有更高的 EUV—CE;2005 年,Y.Tao 等人也为克服平板靶材的缺点,在 Sn 条靶材的底部放置了具有一定厚度和宽度的碳氢薄膜。然后,用激光光束照射 sn 条靶材和碳氢薄膜,使 sn 条为被脉冲激光束聚焦中心照射的部分,而碳氢薄膜则为激光光斑边缘的照射部分。因为碳氢等离子体质量小,其膨胀速度较快,该方案成功地消减了由于温度分布不均匀性对 EDR 区所产生的影响,使得 EUV.CE 提高了 1.4 倍 Ⅲ1;同年,Y.Shimada 等人尝试将 sn 靶材的形状由平板换为了球形。他们将直径为几微米的球形塑料靶材表面涂满厚度为微米量级的 Sn,最终得到了最大值为 3%的 CE 旧纠;2008 年,S.Yuspeh 等人同样研究了球形 sn 靶对 EUV—CE 的影响。结果与 Y.Shimada 等人的结论一致,球形 Sn 靶具有较高的 CE,而且 CE 会随着 Sn 靶直径与焦斑大小比值的减小而逐渐增加旧 6|;2010 年,S.S.Hafilal 等人研究了凹槽形靶对 EUV.CE 的影响。他们发现当脉冲激光打在平板 Sn 靶上的同一点的脉冲数量逐渐增多时,等离子体 EUV—CE 从 2.7%增加到了 5%,而辐射 EUV 的等离子体区域也较之前拉长了近一倍 “;2014 年,T.Cum.rains 等人对楔形结构的 Sn 靶做了相关研究,并最终发现楔形 Sn 靶的 EUV.CE 约为 3.6%旧 8|;后来,为降低离子碎屑、提高 EUV.CE,人们开始逐渐减小 sn 靶的尺寸,并最终将液滴 sn 靶作为主要研究对象。这是因为液滴 Sn 靶好操控且碎屑较少,故其 CE 较高。一些光源供应公司对液滴 Sn 靶进行了相关研究,最终确定将其作为 EUV 光刻机光源的辐射靶材。世界知名高校九州大学 (日本)、大阪大学(日本),苏黎世联邦理工学院(瑞士) 等大学也较早开展了对液滴 Sn 靶的研究。目前,用于 HVM 的 EUV 光刻机光源均是采用液滴 Sn 靶。虽然液滴 sn 靶能达到较为理想的 EUV.CE,但其时间和空间的不稳定性为光刻机光源的设计和制造增加了难度。

小结:理论分析表明,锂、氙、锡,有符合极紫外发光的能级。结果做完实验后发现,锂和氙的效率太低不适合,锡里面的跃迁能级更丰富,效率比前两者高很多,纯度也不错,所以开始死磕锡。后来发现,锡的几何形状对效率也有影响,于是做了各种花样锡来接受激光的‘拷打’,最后发现做成圆球形的液滴状是最好的,这个过程大概有 10 多年,绝大部分是老外干的,所以在这块咱又可以拿现成的东西来用,妥妥的‘师夷长技’,那些说要弯道超车的,其实这也是一个超车点,只是我不知道全中国现在有没有 1 个人的脑子在想,用什么更好的材料来超车。液滴状虽然性能好,但是对光刻机的制造也提出了挑战。

。。。。21/08/04

————————————————- 分割 7—————————————-—————

继续。。。

驱动激光的选择

原文:选择 LPP 作为 EUV 驱动光源时,激光波长、激光脉宽以及入射激光光束聚焦情况的改变均可以影响 EUV.CE。CO2 激光器与 Nd:YAG 激光器是较为合适的 EUVL 激光器。因为这两种激光器的输出功率较大,能量转换效率高,可以实现高功率的 EUV 光输出。2007 年,J.White 等人分别通过将上述两种类型的激光器照射 Sn 靶,分析了不同激光波长对 EUV.CE 的影响。当能量等条件相同时,用波长分别为 10.6“m、1064 nm、355 nm 的激光照射 Sn 靶产生 EUV 光。他们发现相较于使用 Nd:YAG 激光脉冲,使用 cO:激光脉冲能获得较高的 cE(两者比值为 2.2),而且辐射出的 EUV 光功率也较高 mJ。图 3 为 CO:激光与 Nd:YAG 激光诱发激光等离子体 EUV 辐射区域与激光能量沉积区域的比较 H5|。由图 3 可以看出,CO:激光之所以具有更高的 CE 是因为脉冲激光能量沉积区与 EUV 辐射区相距不远,这样便于激光能量快速转移到等离子体中辐射 EUV 光。同年,日本 EUVL 系统发展协会的 Akira Endo 等人进行了类似的实验。他们发现用 cO:激光作为驱动光源产生碎屑数量少,光谱纯度高。

2009 年,s.S.Harilal 等人研究入射激光光束聚焦情况对 EUV—CE 的影响时发现,当激光正好聚焦到 Sn 靶上时并不能获得最理想的 CE 值。为此,他们通过相关实验找出了获得最佳 CE 时激光在靶材上的光斑尺寸,并发现最佳聚焦条件时的 CE 值比聚焦到靶材上时的 CE 值高了近 25%;同年,基于上述现象,Kasperczuk 等人解释了激光聚焦条件影响 EUV.CE 的原因。实际上,聚焦会使靶材初始等离子状态受到极大影响,因而后续的激光脉冲会与受影响的初始等离子体相互作用而影响实验结果。有学者研究发现,可以先用预脉冲照射液滴 Sn 靶,产生初始等离子体碎片。设计好延迟时间后,再用高功率密度的主脉冲照射初始等离子体碎片,产生高温、高密度的等离子体并辐射 EUV 光。这种方案的优势在于预脉冲使液滴体积变大,易于后面的主脉冲与其发生作用,提高了主脉冲激光的利用率以及最终的 CE 值。在双脉冲照射实验中,常使用 Nd:YAG 激光作为预脉冲激光源,可有效地提高 EUV.CE。这是因为 Nd:YAG 激光具有更深的穿透深度、更高的等离子体临界密度,可气化更多的靶材等优点 ⅢJ。2008 年,Shinsuke Fujioka 等人采用 Nd:YAG 激光 (预脉冲) 和 CO:激光 (主脉冲) 照射液滴 sn 靶艚。他们的实验结果表明双脉冲激光辐射液滴 Sn 靶产生的 EUV.CE 基本都高于单脉冲激光所产生的 EUV-CE;2012 年,Freeman 等人将预脉冲激光波长分别设置为 266 nm(4 倍频的 Nd:YAG 激光)和 1 064 nm,研究了不同预脉冲波长对 C02 激光辐射 Sn 靶产生 EUV 光的影响旧 J。他们发现,l 064 nm 预脉冲激光相较于 266 nm 预脉冲激光所产生的离子碎屑少,这间接证明了用 1 064 am 的 Nd:YAG 激光器作为预脉冲激光时,碎屑粒子具有更低的动能。

小结:掺铝钇铝石榴石激光和二氧化碳激光因为功率可以做的比较大而适用,在 2007 年,也就是 14 年前,科学家用这两种类型激光器做了 3 种组波长的比对实验,结果发现 10.6um 波长的二氧化碳激光器的激发效率最高(人体辐射波长刚好也是 10um 左右,说明人体构造中的主要粒子能级跟二氧化碳中的某一能级很接近,不过我这样猜测可能不严格),同年日本科学家又证明用二氧化碳激光器产生的碎屑少,2009 年又有科学家研究了怎么来聚焦光斑会让效率最高,也得到了很好的结果,因此这些成果沿用至今,目前 EUV 光刻机用的就是 CO2 激光器。

原文:有学者研究发现,可以先用预脉冲照射液滴 Sn 靶,产生初始等离子体碎片。设计好延迟时间后,再用高功率密度的主脉冲照射初始等离子体碎片,产生高温、高密度的等离子体并辐射 EUV 光。这种方案的优势在于预脉冲使液滴体积变大,易于后面的主脉冲与其发生作用,提高了主脉冲激光的利用率以及最终的 CE 值。在双脉冲照射实验中,常使用 Nd:YAG 激光作为预脉冲激光源,可有效地提高 EUV.CE。这是因为 Nd:YAG 激光具有更深的穿透深度、更高的等离子体临界密度,可气化更多的靶材等优点 ⅢJ。2008 年,Shinsuke Fujioka 等人采用 Nd:YAG 激光 (预脉冲) 和 CO:激光 (主脉冲) 照射液滴 sn 靶艚。他们的实验结果表明双脉冲激光辐射液滴 Sn 靶产生的 EUV.CE 基本都高于单脉冲激光所产生的 EUV-CE;2012 年,Freeman 等人将预脉冲激光波长分别设置为 266 nm(4 倍频的 Nd:YAG 激光)和 1 064 nm,研究了不同预脉冲波长对 C02 激光辐射 Sn 靶产生 EUV 光的影响。他们发现,l 064 nm 预脉冲激光相较于 266 nm 预脉冲激光所产生的离子碎屑少,这间接证明了用 1 064 am 的 Nd:YAG 激光器作为预脉冲激光时,碎屑粒子具有更低的动能。

小结:随着研究深入,发现还有提升空间 ,先打一束激光‘预热’锡靶,然后再打一束激光上去,效果更好,效率更高,但这也增加了制造难度,不过这难不倒勤劳聪明的工程师们,目前 EUV 光刻机中正是采用了该方法,采用二氧化碳激光器,对熔融态锡滴,进行二次激发,第一次把锡滴激活并且撞成‘饼状’,增加与第 2 次光斑的接触面积,提高转换效率(原文里没说这个)。不过我估计三次四次有可能更好,但有没有必要就不一定。

。。。。21/08/07

————————————————- 分割 8—————————————-—————

原文:LPP 通过激光辐射靶材产生高温、高密度的等离子体并辐射 EUV 光。在此过程中,必然会产生一定数量的碎屑。这些碎屑主要由熔融液滴、微粒团簇、中性碎屑原子和高能离子组成。其中,速度最慢的微粒团簇,直径大约在微米量级以上,运动速度约为 103cm/s 左右;高能离子因具有较高能量而运动最快,速度可达 106~107cm/sml;中性粒子碎屑的速度介于上述两者之间。碎屑问题作为 EUV 光刻机大规模工业化生产过程中不可忽视的问题之一,其影响具体表现在:(1) 碎屑会损伤光源的收集系统,碎屑中的高能离子会撞击多层膜反射镜,造成多层膜反射镜结构被破坏。同时,能量较低、速度较慢的中性碎屑粒子有一定的概率会附着在多层膜反射镜上,吸收生成的 EUV 光并加热多层膜反射镜,进一步破坏其结构。无论是高能粒子还是中性原子,都会使多层膜反射镜的反射率降低,导致 EUV 光刻机设备中的一些反射镜需要时常更换,从而影响光源长时间的稳定工作;(2) 中性粒子等碎屑会吸收 EUV 辐射,而且亚微米级的微粒团簇和熔融液滴因不能完全被运用到产生 EUV 辐射的过程中而被浪费,这些均可能限制 EUV-CE。综上所述,减少 LPP.EUV 过程中所产生的碎屑是极为重要的。对于微米量级以上的碎屑,可以通过上一小节中所提到的双脉冲激光辐射方案除去”。对于其他种类的碎屑问题,科研人员们也分别做了大量实验研究。2003 年,G.Niimi 等人通过在光源的收集装置中添加磁场研究了 LPP 离子碎屑的特性。结果发现,在磁场的作用下,离子信号有明显的下降,而且距离磁场越近,下降比例越明显;2007 年,S.S.Harilal 等人又在有磁场的光源收集系统中加入了缓冲气体,实验发现缓冲气体不仅可以减缓高能碎屑离子,同时也能抑制中性碎屑粒子;2012 年,孙英博等人在光源系统中充入氩气、氦气等缓冲气体,研究了不同种类的缓冲气体对 Sn 离子碎屑缓解效果的影响。目前市售 EUV 光刻机产品均采用将充人惰性气体或氢气和外加磁场相结合的方案除去碎屑。充人惰性气体的好处在于:(1) 充人气体的分子与碎屑离子相撞,降低了其运动速度,流动的气体还可将碎屑离子吹到远离多层膜反射镜的区域,减少其对光学收集系统的损害;(2) 当充人的气体是氢气时,靠近器壁的氢气通过放电的方式形成电容耦合的氢气等离子体,其中的 H 自由基可以与 Sn 粒子发生化学反应,反应的化学方程式为 Sn(s)+4H(g)——SnH。(g),产生了热蒸汽 SnH。通过真空抽吸的容器可以去除热气体和 sn 蒸气。加入磁场的优点在于:(1) 因为 EUV 光为主要由 Sn 离子和电子组成的 Sn 等离子体发射,所以几乎所有的 sn 离子都可以通过拉莫尔运动而被强磁场捕获;(2) 一些中性原子可以通过与离子碰撞的方式,发生电荷交换成为离子而被磁场捕获。最终这些碎屑粒子均可被碎屑收集装置所收集。

小结:最后一个关键的问题是碎屑问题。激光和锡的反应其实是比较激烈的,因为激光是高能激光,锡是等离子态(等离子一般都是由高速带电粒子组成的,太阳就是一团等离子体),因此一些粒子会在此反应中 “溅射” 出来,比如熔融的锡滴、微粒簇,高速带电粒子,低速能粒子(再低也有 10m/s),中性粒子。中性粒子会吸收 EUV,“溅射”的熔融锡滴、微粒簇没有参加反应,这些都会影响转换效率;而高能粒子和低能粒子都会对光学元件产生物理性的破坏。

为了对付这些碎屑,科学家们又想了很多办法(问题真的是越研究越多哈),1. 微米以上,大一点的碎屑,可以通过上面说的双脉冲方式解决;2. 加入磁场后,离子的 “溅射” 明显减少,这是因为带电离子受磁场约束影响;3. 对于中性粒子,冲入惰性气体后也能得很好的缓解,同时对离子碎屑和其他碎屑都有缓冲作用。

那么目前在售的 EUV 光刻机是采取,惰性气体 + 氢气 + 磁场 的三重防护,惰性气体起缓冲保护作用,同时把碎屑吹离光学元件;氢气在器壁附件放电形成 H+,可以和锡碎屑(Sn)反应生成 SnH4 的热蒸汽,然后被抽出去;磁场那肯定是用来捕获带电碎屑,不带电的碎屑经过碰撞后也会电离从而被磁场捕获。 听起来这三板斧一顿操作之后,碎屑也是服服帖帖,但估计攻城狮们快疯了。

。。。。。21/08/14

————————————————- 分割 9—————————————-—————

原文:目前,已经收购 Cymer 公司 (世界领先的激光源供应商) 的荷兰光刻机巨头 ASML 公司和日本 Gigphoton 公司几乎垄断了全球激光光刻机光源产业,他们都可以独立地制造出基于 LPP 的 EUV 光刻机光源。ASML 公司于 1984 年成立,公司的总部现位于荷兰费尔德霍芬,是一家半导体设备制造和销售公司。目前,英特尔,三星,中芯国际等国际知名公司都从 ASML 公司采购光刻机,其市场份额已达到 70%。售价 1 亿美元一台的 EUV 光刻机,全世界仅 ASML 公司可以生产。2017 年,全世界出货的光刻机中有 198 台由 ASML 所制造,其中 EUV 光刻机为 11 台; 2018 年全世界出货的光刻机中有 224 台为 ASML 公司制造,较 2017 增长 13.13%,其中 13. 5 nm-EUV 光刻机销售量为 18 台,较 2017 年增加了 63. 64%。2019 年,ASML 公司 EUV 光刻机的年销量将达到 30 台。图 4 将 ASML 公司近年来所生产的几款 EUV 光刻机设备参数进行了对比 ( NXE: 3400C 为即将发售的产品) 。由图 4 可以看出,NXE 系列产品每小时操作的晶圆数目从最初的 60 片 ( 光源 IF 点聚焦功率为 100 W) 增长到 125 片 ( 光源 IF 点聚焦功率为 245 W) 。2018 年年末至 2019 年年初,ASML 公司改良后的 NXE: 3400B( 光源 IF 点聚焦功率为 250 W) 产品,每小时的晶圆操作数可达 145 个,分辨率可达 13 nm 以下,Overlay 为 1.7 nm( 满足 5 nm 节点的工艺需求) 。ASML 公司在 2019 年下半年推出的新款产品 NXE: 3400C 每小时操作的晶圆数为 155 ~ 170 片,其 overlay 预计可达 1. 5 nm。到 2020 年后,ASML 公司还预计将新版本产品光源 IF 点聚焦功率提升到 350 W 以上

Gigaphoton 公司于 2000 年在日本栃木县小山市成立。不同于 ASML 等光刻机公司 Gigaphoton 是一家激光器光源供应商。它自成立以来一直为全球包括 ASML,Nikon,Canon 等半导体行业巨头提供激光光源,其光源技术一直处于世界领先水平。Gigaphoton 于 2002 展开了对 EUV 光源的研究。到目前为止,Gigaphoton 公司共设计了 3 款 13.5 nm-EUV 光源产品,它们分别是 Proto# 1,Proto#2 和 Pilot#1。Proto#1 的设计重点是碎片减缓技术; Proto#2 作为优化 CE 的设备; Pilot#1 的设计考虑了在半导体工厂中支持 HVM 的商业应用。表 1 将 Gigaphoton 公司 3 款产品的参数进行了对比。由表 1 可以看出,新款产品 Pilot #1 在输出功率 (250 W) 、转换效率 ( 5%) 以及工作时长 ( 大于 3 个月) 等众多方面都较其他两款产品有着绝对的优势。接下来,以 ASML 公司和 Gigaphoton 公司所生产 13.5 nm-EUV 光刻机光源产品为例,具体介绍 LPP-EUV 光刻机光源,CO2 脉冲激光振荡和放大级光路以及靶材装置。

LPP-EUV 光刻光源

相关文献给出了 ASML 和 Gigaphoton 公司的 LPP-EUV 光刻光源的概念图[21-22]。首先,预脉冲激光通过光束传送系统 (Beam Transfer System,BTS) 打到 Sn 靶上,经过一定延迟后,靶材逐渐变成了亚微米级薄雾。此时,再由主脉冲激光同样通过 BTS 攻击靶材,产生高温、高密度的等离子体,发出 EUV 光。EUV 光经多层膜反射镜反射聚焦到 IF 点,并继续投射到曝光操作平台上。上述光源装置采用氢气和磁场减缓碎屑,运用碎屑收集器回收碎屑,以免污染光学收集系统。EUV 光源的输出功率为 CO2 Power × CE × ( 1-DE( %) ) 。4. 2 CO2 脉冲激光的振荡和放大光路图 5 为 Gigaphoton 公司 CO2 脉冲激光振荡级和放大级的光路设计概念图[22]。Gigaphoton 为了可以得到稳定的 250 W-EUV 光,激光器平均功率需大于 20 kW。CO2 激光驱动器需产生持续时间< 20 ns 的脉冲,以实现 LPP 过程的最佳效率。并且,100 kHz 的重复频率需要每个脉冲输送大于 200 mJ 的能量。满足这些要求的唯一方案是使用主振 + 功率放大器 ( Master Oscillator Power Amplifier,MOPA) ,以保证可以输出高功率密度,高光束质量的激光束。ASML 和 Gigaphoton 均使用了 MOPA 和预脉冲相结合的方案照射液滴 Sn 靶。由于 CO2 介质的弛豫时间与脉冲持续时间相当,激光的放大效率明显较低。出于这个原因,Gigaphoton 公司将振荡级设计为多线式主振荡器 ( multi-line capable master oscillator) 。该方案可以改善脉冲放大效率低的问题,其将射频放电激发的平板波导 CO2 激光技术与相对较新的固态量子级联激光器 ( Quantum Cascade Asers,QCL) 结合起来作为种子光源。该方案能够产生较为稳定的高能量脉冲,并且持续时间在 15 ~ 35 ns 内可调节。最终,振荡器的输出功率由两个多通道放大器系统提升至 100 W 左右。相关文献给出了多线式振荡器的原理以及选用 QCL 作为种子光源的优势。Gigaphoton 公司 Pilot#1 光源使用由三菱电机生产的放大器,包括两个前置放大器和 3 个主放大。其中,前置放大器是将主振荡器输出的 100 W 脉冲激光提升到 3 kW 左右,而主放大器采用商用射频放电激发泵浦的 CO2 激光器,将输功率提升至 20 kW 以上。在 Pilot#1 系统中使用快横流 ( Fast Transverse Flow,FTF) CO2 技术,可以输出较高功率的激光并且略微改善了光束质量。横流 CO2 激光器中的气体压力通常低于轴流激光器中的气体压力,因为气体流动通道的横截面更宽并且流动长度更短。激光器的信号增益与气体压力成反比。因此,横流 CO2 激光器的信号增益通常高于轴流 CO2 激光器的信号增益。此外,装置中的隔离器抑制由主振荡器和放大器耦合引起的全局自激振荡,可有效避免降低放大器增益和影响振荡器稳定工作等问题。

图 6 是 ASML 公司研制的 Sn 液滴发生器的示意图。其中,Sn 在容器中加载,加热 Sn 使其温度达到熔点以上。在惰性气体施加压力的情况下,Sn 通过过滤器到达喷嘴喷出。值得注意的是,这里 Sn 喷射的速率是由机械振动调制器所调制的,目的是为了使其发射液滴的频率与脉冲激光的重复频率一致。最新产品的液滴直径均小于 20um.

小结:文章这里介绍了一下 ASML 总体情况和光刻机光源情况,主要是市场地位。ASML 目前在光刻机市场占有率达到 70%(在欧美,一般按照这个占有率是会触发反垄断调查的),而 EUV 众所周知,只有他一家,实际上高端 DUV 也只有 ASML,图 4 是 ASML EUV 光刻机的 Roadmap, 产品发展和规划,有兴趣的可以仔细看看。反正就是一路向北,精度,效率都不断在攀升,而且路标基本真的都实现了,看起来能阻挡摩尔定律的就只能是量子隧传效应了。

ASML 收购的美国 Cymer 公司做分子激光器很厉害,DUV 的光源也大部分是他们供应,文章中称 EUV 有 Cymer 和日本的 Gigaphoton 一直在研究,Gigaphoton 从 2002 年就开始研究,做了 2 个型号的光源,一个侧重点是去碎屑,一个侧重点是提效率。

由于后端照明和成像光学系统的光效率太低,二氧化碳激光虽然已经功率比较高,但是还是不能满足,因此就要把激光能量放大,我们按照文章中的数据简单归纳一下

因为,最后锡滴要满足大于 250W

所以,算上转换效率,照射在锡滴上的二氧化碳激光平均功率要 > 2 万 W。

然后,再算一下瞬时功率,文章中给出 20ns 脉冲时间和脉冲能量 200mJ,则

P=W/t=200mJ/20ns=1 千万(瓦),即 CO2 激光的瞬时功率要达到 1 千万瓦!

“满足这些要求的唯一方案是使用主振 + 功率放大器 ,以保证可以输出高功率密度,高光束质量的激光束。ASML 和 Gigaphoton 均使用了 MOPA 和预脉冲相结合的方案照射液滴 Sn 靶。”

某些脑子比较沸腾,看不清楚字的同志注意哈,敲黑板,原文里写的 “唯一方案。。。。”,这个‘唯一’不是我说的哈,这是中科院的科学家说的,别等下又来咬我。以上分析后,直接把谐振腔产生的激光输出是不现实的,于是就必须要加上放大器,简而言之,就是不仅仅电信号可以放大,光也是 y 有办法放大的,比如光电倍增管,实际上产生激光本身的谐振腔装置也是个光放大器。因为文章中说的太笼统,示意图也不是很细,我就继续查了一下引文,发现他说的“唯一…..” 其实也是引用的那篇文章的。我们来稍微研究研究。

这是日本 Gigaphoton 公司发表在《SPIE 国际光学工程学会》学术会议上的论文

原文链接:Key components technology update of the 250W high-power LPP-EUV light source

我们先来看左半部分

采用 QCL 量子级联激光作为‘种子’激光(这种激光体积小,波长可调,可以产生几微米到最多几百微米波长的激光,很有用,因为长波激光是不易产生的,CO2 激光是 10.6um。嗯… 其实太短的波长也不容易产生。。。),功率大概 1mw 这样,同时还用了 4 线激光(这里的 4 线对应指的是 CO2 分子里的能级,发现这样会比同样功率下的 1 线,效率要高 20%)。种子激光会激活 “射频板条 CO2 激光装置”(这是目前 CO2 激光器的主要方案,采用高频射频电源,加在两个很大面积的金属平板上,中间是 CO2 气体),在谐振腔的作用下会产生 15W 的激光,接着经过 2 次放大(其实就是等于延长增益介质),能够产生 150W 的激光,这个 150W 的激光是用于激活后面的放大装置,也就是说放大倍数最大的部分,需要上百瓦的激光来激活,小了就激活不了,所以叫预放大阶段。然后再看主放大器。

如上图,就是当激光功率大了之后再想继续放大其实不是那么简单的,这跟电信号放大是一个道理,不是无限加放大器就可以,否则不仅效率受影响,信号质量会急剧下降。所以这里的放大器跟前面的放大器是有不一样的,就不展开讲了,看文章中说的是一种 FTF amplifier 也就是快速横流 CO2 放大器,应该就是前面那种方案的优化。无论如何,最后经过这个主放大器的作用,功率终于来到了 > 20kW。

想插着说一下前面讲的二次激光脉冲激发锡滴,因为他这个文章里面的图画的比较好,刚好借它来再说明一下。如上图可以很直观的看到,会有第一束激光先把锡滴(droplet)打散成雾状(Fine-mist), 也就是更精细,然后第二束主激光再打上去,锡滴彻底电离,发出极紫外光,而且这时候转化效率就能够最大化。

但是。。但是。。目前 ASML 商用化的 EUV 光刻机既没有选择自家的 Cymer, 也没有选择 Gigaphoton,也不是美国那几家很专业的公司,最后他们选择的是一家 80 年的老牌德国企业通快(Trumf),这家公司的 CO2 激光放大器很牛逼,并且在 2017 年收购了一家做低功率高精度 CO2 激光器的美国公司大通激光 Access Laser,这应该就是上面讨论的非功率放大部分的技术。并且还把锡滴发生器一起提供,等于是完整的一套光源。

最后,通快做了个视频来宣传他的技术,一起来感受一下,可以对照上面的说明,视频里基本跟上面说明一致。

官网高清观看地址:如何产生 EUV 辐射?

两束激光(仔细看有红色和蓝色)你追我赶,看的人头皮发麻。

至此,理论和实践又合符了。有想杠的,请别再拿什么 “只讲技术,不讲战略啊…”“时间问题,我肯定赢…”“原子弹牛逼… 光刻机分分钟” 之类的来杠了,我再次重申,我没有泄气,没有说中国做不出来,我只是在实事求是的讲技术,客观问题,不代表不能解决,不了解清楚怎么解决,至于那些讲政治经济学的赶紧走开,不要继续秀无知。不过相信能看到这里的同学水平也不会那么差把。。

。。。。。21/08/20

————————————————- 分割 10—————————————-—————

原文:总结与展望

截至目前,虽然 DUV 光刻机与 EUV 光刻机均可达到 7—5 nm 节点的制作工艺需求。但是,针对下一代更短节点的光刻工艺,只有 EUV 光刻机可以实现。EUVL 因更短的光刻波长而具有更高的分辨率,从而减少了光刻过程中的曝光次数,降低了成本。ASML 公司称,相比 DUV 浸没式光刻技术加多重成像技术,EUV 光刻技术能够将金属层的制作成本降低 9%,过孑 L 的制作成本降低 28%。然而,现在的 EUV 光刻机并未完全占领市场。其技术不够完善和售价极其高昂是主要原因。通过对比 ASML 公司的 DUV 光刻机产品 (NXT:2000i) 与 EUV 光刻机产品 (NXE:3400B),不难发现:在售价方面,EUV 光刻机的价格更高;但在产率方面,DUV 光刻机每小时操作的晶圆数目约为 EUV 光刻机的两倍左右。这足以证明 EUVL 技术仍有较大的提升和改进空间。对于未来 3 nm 节点的光刻技术,EUV 光源的功率需要提升到 500 W。l nm 节点的光刻技术需要 1 kW 功率的 EUV 光源。众所周知,半导体行业快速发展的核心技术是光刻技术。而在如今信息化,科技化高速发展的世界中,一个国家的半导体芯片产业技术和光刻技术的发展前景标志着这个国家的科技实力和信息化程度。手机、电脑等大量高科技产品在人们生活中的普及化,以及先进制造、新材料、高功率激光等相关产业的飞速发展将促进半导体产业和光刻技术的不断更新。我国对于光刻技术的研究起步较晚,且进展较为缓慢,技术水平与国外的差距十分明显。为了具有自主研发高端集成电路的能力,我国已经开始了 EUVL 和 DUVL 的 193am 光刻技术的研究懈 J。目前,我国在光刻领域的进展包括:(1) 上海微电子装备有限公司研制的 SSX600 系列步进扫描投影光刻机采用 DUV 光源,可满足最低 90 am 的光刻工艺需求。此外,28 工艺节点的 193 am 浸没式光刻机正处于研发阶段;(2)由中国科学院光电技术研究所研制的世界首台分辨力最高的紫外超分辨光刻设备采用 365 nm 的紫外光作为曝光波长,其一次曝光线宽可达 22 nm,结合多重曝光技术后,可用于制造 10 nm 级别的芯片 Ⅲ1;(3)长春光机所 “极紫外光刻关键技术研究” 项目的研究团队研制出了线宽为 32 nm 的 EUV 光刻投影曝光装置。上述光刻机设备的确与国外产品存在着较大的差距。我们需要积极的借鉴和学习国外的先进技术,集中优秀人才,组织相关团队,踏踏实实地在 EUV 光刻技术领域进行创新与发展。同时,还应发挥我国在紫外超分辨光刻设备中的优势,多方面发展我国的光刻工艺技术。有着国家强大综合国力的支持,我国可以将半导体芯片产业技术和光刻技术稳健地发展起来,未来在光刻技术领域占有一席之地。

小结:关于这篇论文,最后我们来看看它的总结与展望,看看权威人士,看看真正在干这个事的,我们需要仰仗的人,是怎么说的,

  1. 虽然 DUV 光刻机与 EUV 光刻机均可达到 7—5 nm 节点的制作工艺需求。但是,针对下一代更短节点的光刻工艺,只有 EUV 光刻机可以实现。用 EUV 做 ,成本更低;

2. 半导体行业的核心是光刻技术,半导体行业发达程度,光刻技术发达程度,标志着这个国家的实力和信息化程度;

3. 我国对于光刻技术的研究起步较晚,且进展较为缓慢,技术水平与国外的差距十分明显;

4. 先进 DUV 和 EUV 的研究都有进展,但的确与国外有不小的差距;

5. 殷切的期盼 “我们需要积极的借鉴和学习国外的先进技术,集中优秀人才,组织相关团队,踏踏实实地在 EUV 光刻技术领域进行创新与发展。同时,还应发挥我国在紫外超分辨光刻设备中的优势,多方面发展我国的光刻工艺技术。有着国家强大综合国力的支持,我国可以将半导体芯片产业技术和光刻技术稳健地发展起来,未来在光刻技术领域占有一席之地。”

学习国外技术,集中人才,组织攻关,踏踏实实!!!

。。。。。。2021/08/25

————————————————- 分割 11—————————————-—————

对 EUV 光源我们已经研究了一遍,并且进行了相对地深挖。那么另外 2 大核心技术,接着来搞一搞,来继续认识一下我们自己的无知。

首先是超精密气动工作台,虽然该领域属于相对传统的机械电气领域,但鉴于本人对这块知识的相对匮乏,加之壁垒相对,是相对哈,低一些,所以就不展开研究,讲不好要贻笑大方。

不过以下的链接可以简单的对这部分的难度有个概念,了解一下扫描式光刻机的基本运作原理。

什么是步进扫描光刻机?国产光刻机与 ASML 差距多大?

那么现在开始研究,EUV 光刻机的光学成像系统,这套异常独特的反射式成像系统,相信是众多工程师们的噩梦,让我们一起来揭开它的神秘面纱。我直接找到了卡尔蔡司公司的 EUV 光刻物镜的专利。考虑到基础知识的问题,找了这篇北京理工大学刘菲同学的博士论文,论文对这一领域还是进行了比较详细的研究,对 EUV 光刻物镜和照明系统的设计方法提出了一定的创新,相对完整的设计了六反、八反、十反的系统,虽然只是停留在设计仿真层面,但这应该也怪不了刘博士,实在是这东西也没哪里能打样做验证的,更别提系统级验证。

共轴极紫外投影光刻物镜设计研究 –《北京理工大学》2014 年博士论文

蔡司的这篇美国专利也是通过这篇博士论文找到的,可以在国家专利局网站上直接下载,最早是在大概 2002 年就有了,从最后商用情况来看,这篇专利里的方案可以说是现在量产方案的基础。

http://pss-system.cnipa.gov.cn/sipopublicsearch/patentsearch/showViewList-jumpToView.shtml

那么美国专利一般最重要的就是发明背景和细节描述这两部分。首先来看发明背景,我会按原文翻译,主要用括号 * 来加以注释(*….)。

— BACKGROUND OF THE INVENTION :
1. Field of the Invention
The invention relates to a projection lens for imaging a pattern arranged in an object plane onto an image plane employing electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region.

本发明主要涉及到一种投影镜头,用来把一个在物平面上的图案成像到像平面上,使用的电磁辐射是处于极紫外光谱区域(* 老外蛮喜欢装的,不说‘光波’,一定要说‘electromagnetic radiation 电磁辐射’,高大上)

2. Description of the Related Art
Projection lenses of that type are employed on projection exposure systems used for fabricating semiconductor devices and other types of microdevices and serve to project patterns on photomasks or reticles, which shall hereinafter be referred to using the generic terms “masks” or “reticles,” onto an object having a photosensitive coating at ultrahigh resolution.
这里讲的投影物镜,是专门指制造半导体器件或者其他类型的微器件的设备中,它能以超高分辨率把光掩模板上的图案投射到一个有光敏涂层的物体上。

In order to allow creating even finer structures, various approaches to improving the resolving power of projection lenses are being pursued. It is well known that resolving power may be improved by increasing the image-side numerical aperture (NA) of the projection lens. Another approach is employing shorter-wavelength electromagnetic radiation.

为了能够制造出更小的结构(就是更小晶体管),也是想尽了各种办法来提升投影物镜的分辨率。众所周知,增加像方数值孔径 NA 是一种办法,还有一种是使用更短波长的电磁辐射。(如下图,数值孔径 numerical aperture (NA)= 折射率 * sin(a), 物镜极限分辨率与 NA 成正比)

However, improving resolution by increasing numerical aperture has several disadvantages. The major disadvantage is that the attainable depth of focus (DOF) decreases with increasing numerical aperture, which is disadvantageous because, for example, a depth of focus of the order of at least one micrometer is desirable in view of the maximum-attainable planarity of the substrate to be structured and mechanical tolerances. Systems that operate at moderate numerical apertures and improve resolving power largely by employing short-wavelength electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region have thus been developed. In the case of EUV-photolithography employing operating wavelengths of 13.4 nm, resolutions of the order of 0.1 μm at typical depths of focus of the order of 1 μm may theoretically be obtained for numerical apertures of NA=0.1.

不过呢,加大数值孔径有几个缺点,主要的缺点是会虚焦,数值孔径越大虚焦越厉害,在晶圆的平面误差和机械放置误差最大的情况下,焦深至少得有 1 微米才行(焦深,聚焦范围,指在这个 z 方向的范围内,光线都能准确聚焦,超出这个范围,聚焦的斑点就会急速变大)。因此为了平衡,短波的极紫外光和适当数值孔径的物镜开始被研究。就极紫外光刻技术来讲,典型的参数是,光源 13.4nm,NA 0.1,横向分辨率 0.1um,以及纵向焦深 1um。

It is well known that radiation from the extreme-ultraviolet spectral region cannot be focused using refractive optical elements, since radiation at the short wavelengths involved is absorbed by the known optical materials that are transparent at longer wavelengths. Mirror system that have several imaging, i.e., concave or convex, mirrors that have reflective coatings arranged between their object plane and image plane and define an optical axis of the projection lens are thus employed in EUV-photolithography. The reflective coatings employed are typically multilayer coatings having, for example, alternating layers of molybdenum and silicon.

众所周知,因为材料吸收特性,极紫外光不能用折射元件来聚焦。因此,镀有多层膜的反射镜,例如钼 / 硅膜(* 前面已经讲过),就被用来做这个事。(这个从句写的真是。。。废话真多。不过专利都这样,美国专利还算是比较奔放的,很多详细参数真的会写出来,在中美欧的专利范式中,美国读起来还是相对好一点的)

A reflective lens for use in EUV-photolithography that has four mirrors, each of which has reflective coatings with uniformly thick layers, is disclosed in U.S. Pat. No. 5,973,826.
Another EUV-photolithographic system is shown in U.S. Pat. No. 5,153,898. That system has a maximum of five mirrors, at least one of which has an aspherical reflecting surface. Numerous combinations of materials for multilayer reflective coatings suitable for use in the EUV are stated. Their layers all have uniform thicknesses.

公开号为 No. 5,973,826 和 No. 5,153,898 的两篇美国专利,分别使用了 4 个反射镜和 5 个反射镜的方案,5 反方案中还使用了一个非球面反射镜,这两个方案,镜片上的膜层厚度都是均匀的。(* 这篇专利的 6 反方案跟最后商用的一致,不用去纠结这两个专利)

Although reflective coatings with uniform thicknesses are relatively simple to deposit, in the case of imaging systems where the angle of entry, or angle of incidence, of the radiation employed on those areas of the mirrors utilized varies, they usually generate high reflection losses, since the thicknesses of their layers are optimized for a specially selected angle of incidence, or a narrow range of angles of incidence, only. Another of their disadvantages is a nonuniform pupil irradiance that causes a telecentricity error, structurally dependent or field-dependent resolution limits (so-called “H-V-differences or"CD-variations”), and generally lead to a narrowing down of the processing window.
Reflective EUV-imaging systems that have mirrors that have graded reflective coatings that are characterized by the fact that they have a film-thickness gradient that is rotationally symmetric with respect to the optical axis of the entire system are also known (cf. U.S. Pat. No. 5,911,858). Employing graded reflective coatings allows achieving a more uniform distribution of the reflected intensity over a certain range of angles of incidence.
Photolithographic equipment, or steppers, employ two different methods for projecting a mask onto a substrate, namely, the “step-and-repeat” method and the “step-and-scan” method. In the case of the “step-and-repeat” method, large areas of the substrate are exposed in turn, using the entire pattern present on the reticle. The associated projection optics thus have an image field that is large enough to allow imaging the entire mask onto the substrate. The substrate is translated after each exposure and the exposure procedure repeated. In the case of the step-and-scan method that is preferred here, the pattern on the mask is scanned onto the substrate through a movable slit, where the mask and slit are synchronously translated in opposite directions at rates whose ratio equals the projection lens’ magnification.

虽然均匀厚度的膜层比较容易做,但通常来讲,成像系统中的光线会议各种角度入射到镜面上,而同一种厚度的膜层一般是只对特定某一窄范围的入射角度有最优的反射率,从而导致其它与之差角度别大的的光线在反射时会有大的能量损失。还有就是会有出瞳照度不均匀,这会导致远心度下降(* 指成像光锥的中心光线,也即主光线,与光轴的平行度偏差),影响特征尺寸极限,也就是所谓的 H-V 偏差或者 CD 抖动,最终导致曝光范围缩窄。(H 水平 V 垂直,CD 特征尺寸, 反正就是会影响光斑聚焦质量,这比较专业了,就不解释了)。膜层厚度随中心到边缘梯度变化,且关于光轴旋转对称的方案,在另外一篇专利 U.S. 5,911,858 有报道过。这会让反射能量的均匀性更好。光刻机一般分为 “步进重复” 型和 “步进扫描” 型,前者是掩模板不动,工作台动,整个掩模板的图案一次性全部曝光下去,曝完一个区域移动工作台到下个区域,周而复始,这就需要物镜有比较大的视野(* 会增加物镜设计制造难度);“步进扫描”是这里更被偏爱的,不仅工作台移动,同时掩模板也会向相反的方向,以物镜放大倍率等比例的速度移动,用一个长条的窄缝来限制每次曝光的范围(* 减小了单次曝光的范围,物镜难度降低)。

。。。。。2021/08/27

————————————————- 分割 12—————————————-—————

好像继续拆分下去意义不大,所以就大概总结一下这篇专利的情况,反正已经附了链接,大家可以自己去看。

目前 EUV 的物镜系统是反射式的,虽然看起来歪七扭八,但实际上这是一个同轴的系统,而并不是离轴的系统。之所以看起来歪来歪去,是因为这些镜片其实是一块完整镜片的一部分,所以这些镜片的设计中心轴,其实是重合的,只是物是偏心的而已,也就是说掩模板的中心与物镜系统的中心光轴是不重合的。这种只是物偏心的系统其实还好,如果真的是光轴有离轴角的话,那畸变会变得异常难控制。

由于 EUV 光刻的要求特别高,所以会对掩模板成像的亮度均匀性做很强的要求,否则因为曝光不够均匀而可能会导致晶圆上的线路特性也不均匀,而反射镜上我们前面提到过的反射膜也会对光波前产生影响,导致波前误差。因此这篇专利最大的一个亮点就是采用不均匀厚度的膜层来校正这一影响,这个还是蛮牛的,因为一般镀膜都是在表面镀一层均匀厚度的膜,而且在大部分光学系统设计中,镜片表面的光学薄膜对像差的影响几乎都是忽略不计的,但在这里不行,因此为了达到这些比较变态的要求,还要用渐变厚度的膜层来进行修正,这无疑给制造大大增加了难度。

最后,我觉得也没有必要再更新下去了,而且事情并不是我们想的那么简单。能够仔细看到这里的,其实你会发现 “自主光刻机” 是可以有办法知道具体的解决路径的,不过这就像我们常常听人讲大道理,听起来特别像那么回事,也知道具体要怎么干,但是,一旦动起来就变形了,这就是知易行难。“多久能搞定自主光刻机”这个问题,取决于

1. 我们是否对困难有充分认识?战略藐视,战术必须重视;

2. 我们决心有多大?这关系到资源和利益分配的问题;

3. 如何组织人员攻关?人没找对,弯路就要多走,方向性的错误往往致命;

在目前的科研和产研环境下,做好这 3 点,对我们的挑战是很大的,要做好就必须改革,改革那其中必须有人要做出各种各样的牺牲,相关的人愿意牺牲吗,或者说有那么容易做出让步吗。

好多人经常举例,圆珠笔小滚珠的国产化例子,这当然值得开心,但矛盾的另一面就是说一个小滚珠我们投入了 6 年才有成果,光刻机凭什么说能 10 年内赶超呢?如果说是因为国家对光刻机更重视,那是不是所有卡脖子的技术,只要国家重视就很快国产呢?如果是的话,那就都重视一下不就好了吗?如果说资源不够用,那凭什么就一定要给光刻机用,其他很多领域其实同样是棘手的,所以说,真的只是重视一下就能解决的吗? 我们要改变,要有壮士断腕的魄力。

。。。。2021/09/08

————————————————- 分割 13—————————————-—————

时隔几个月,大家对国产光刻机技术还十分的关心,我也一直在关心进展,结合最近的信息,再跟大家聊一聊。

首先是很多人都知道的,在 11 月份,‘02 专项’因为 28nm 光刻机未能实现预期,导致无法全部顺利验收。回顾一下,2020 年 6 月的时候,就曾传国产 “28nm 光刻机” 即将在 2020 年年底交付,但是,直到 2021 年底,上海微电子的 28nm 光刻机并未入传闻那样实现交付,新的交付时间点未有定数。

其次,我说这个事情不是为了炫耀自己,我能预判那是因为我了解,并不是因为我什么神算子,更不是要批判谁。我觉得这个事情从另外方面来讲是一个非常好的事情,至少证明事情真的很难,不是大家想象中那么简单,我们应该更务实一些,但也不可妄自菲薄,可以几条腿走路,不一定要完全国产,比如核心部件中的光源和透镜组都有德国的供应商,不一定美国的。

所以,目前情况已经很清晰了,我们不能抱有太多幻想,该干什么干什么,目前务实比务虚要重要百倍,等有一定基础成果了,我们再来研究‘套路’。最后梳理一下事情重要节点的脉络,保持跟踪。

2018.04 — 美国毫无预兆地制裁中兴,中兴毫无还手之力,国人愤慨又惊奇;

2018.07 — 中兴‘割地赔款’,罚款并且允许美方派人监督公司,换取解禁;

2018.12 — 华为 CFO,任正非的女儿孟晚舟在加拿大被诱捕, 再次上演‘美国陷阱’;

2019.05 — 美国将华为列入实体清单,限制美国企业向华为供应产品,欲除之而后快;

2019.09 — 由历时 20 多年 ASML EUV 光刻机制造的 7nm A13 系列芯片,搭载 iPhone11 发布;

2020.05 — 美国经过一整年的各种打压,未能如愿(华为 yyds),制裁继续升级;

2020.09 — 美国祭出终极杀招,全面制裁华为芯片的代工,也彻底敲醒国人;

2020.10 — 由 EUV 光刻机制造的 5nm A14 系列芯片,搭载 iPhone12 发布;

2020.11 — 美国制裁 31 家中企,全面开战,中美高科技领域之争进入新阶段;

2021.09 — 离别祖国近 3 年的孟晚舟回国,‘美国陷阱’破灭,但中美对抗已无回头路;

2021.11 — 半导体战争的至高领地,国产 28nm 光刻机验收不顺;

2021.12 — ASML 透露实现 3nm 以下,高 NA EUV 光刻机预计 2023 年投入试产,2025 年量产。

…..

。。。。。2022/01/12

知乎用户 浮生 发表

所有从纯技术层面客观唱衰的人,就是没吃懂四个字:“国家战略”。

芯片这事,从它在中国变成政治问题的那一天起,就注定了它一定会且只能是一个时间问题。

正合奇胜,都用了几千年的老办法了。

领导者会想不到两条腿走路么?一条腿踏踏实实搞研发,一条腿偷偷摸摸搞 XX。

那些突飞猛进,平地惊雷的军工技术,我国科研人员自然功不可没。但在一些看不到的地方,一定存在着很多隐秘英雄和 “友好人士”。可能某某研究所算了几年都算不出来的东西,在全所人员绝望的天天去知乎上抱怨太难的时候,上级领导突然就送来答案了呢?

所以,咱普通百姓如果想看懂国家解决某个问题,一定是站在国家的角度,而不是实验室的角度。

总而言之一句话:要相信党,相信国家。

知乎用户 聪大大 plus 发表

没有问题。今年仍然能保证 28nm 光刻机试产。这意义着中国将继日本,成为第三个有能力生产 28nm 光刻机。

而明年,改进款,14nm 传闻也能下线,那就一下只挤身世界一流。仅次于荷兰阿斯麦公司。

28nm 节点到 7nm 节点,都是同一技术路线,突破了 28nm 就很容易长驱直入 7nm。

自称业内人士会科普有多难,什么十年五年。

光刻机的技术透明度远远比原子弹还高。除了专利是阻碍,在中国这行业齐全基础上去研究,很难吗?

euv 光刻机打通了,就会从 7nmeuv 直入 3nm。我始终对 2nm 不抱希望。

满打满算,euv 也就顶天 5 年。而其它技术,不会等着你出来才弄的。可以抢跑,这就是打入台积电的好处了

看看我之前写的,有空将技术进展给更新了

聪大大 plus:中国有可能研发出成熟的光刻机吗?如果能,要多久?

没想到,一大堆没两条动态的人来攻击

更新一下。

谈谈下我对 28nm 量产的看法。

困难肯定是有的,还很多,毕竟底子少。我也懒得写那么多,结合我上面那篇看。这里只说一下上面那篇没谈到的东西。

SSA800 型是干式,做到 28nm 还行(台积电就没问题),再下去就很困难了。改进型 800B 是浸没式,能 2022 年下线,进度就没问题。

SSA800 能不能在预期中下线,没有人敢保证,只能说是大概率能在今年底下线导入。

结合华为中芯的动作来猜测。

当然,即使拖延一下也改变不了即将下线的结果。晚一个季度就叫失败,没能力?

不要用按顺序来一关一关攻克来算总时间。很多技术都是先跑了,从 28nm 节点,到 5nm 节点,全在研究,打入供应链才能做大。这也是我为什么强烈抨击那些反对台积电南京扩产的人。我发现他们不是不懂,只是单纯的坏。

直到美国禁止台积电南京扩产,他们就不出来跳了。

影响 28nm(含 14nm)量产的原因,量产主要是考虑良率。毕竟密度低点也无所谓,面积大点罢了,成本高一两成也比用外面的便宜。但是良率低就不能忍受了。坏了一个 die 搞不好就要整块切了,成本就是翻倍的算了。

良率提升目前主要是以下几个难点。

一个是 12 寸晶圆平整度不够。28nm 用 8 寸成本高,更不要说更先进的制程了。拉 8 寸与拉 12 寸的难度是几何倍的提升。对外依存度达到 94%。其实,能买到,也不算是难点。就是有些二极管人觉得非国产。

应对方法。中芯新建的三个项目解决这个问题。给国产安全提供了保证。

第二是,光源的均性不稳定。

这个是光源与,镜片系统造成的,缺一不可。如果 800 延期,大概率是这个原因了。

主要原因是,长时间运行,光源均性下降,会造成光刻进度不一,除了光源问题就是镜片打磨不够精细。光刻机是系统工程,不是单一个原因造成的,还涉及到掩膜、涂料等。

应对。皆为 02 专项立项项目。中科院主要负责,且不设盈利指标。

另外镜片打磨费时费力。每个镜片磨个三五年都没啥奇怪的。精度的确认要一批批下来才能确认。


ASML 全球份额超 90%,独家占据所有 EUV 光刻机份额。ASML 截止 2021.7 月中,对内地出口光刻机占比达 17%。这 17% 含未交付订单。比上一年翻倍了。

什么时候,我们能做好了,就是发货的时机,恶心且狠毒。

沸腾了。可笑。

等《大国重器》记录片播放吧。别人努力了十几年,少的也有七八年。你们一句沸腾了事。

现在只是收获果实的时候罢了。

7.30 更新对 EUV 的看法。

今天看了一些技术人员对 EUV 的看法。

其中对哈工大于 2019 就停止发表论文以及专利申请,来判断完成 02 专项(之前试验机已经做出了,DUV 更是没问题)。

这个结论我是实在想破脑袋都想不出来,这是怎么推导的?

2019 发生了什么?哈工大被列入制裁名单!

你觉得还会公开?

然后从已经公开资料来看差距。

实话说,这信息早已经落后了。之前我文章指出了三个单位分别攻关 EUV 光源。而自 2019 后,其速度就提速了。随着几十个企业单位列入后,就没人谈了,该删、散。

起码,某司每年 3 亿多美元赞助高校的资金就让国内的高校科研单位瓜分了,且不带条件。

而,上海南京新扩建研发中心就是在做 DUV,EUV 研究。

攻击国有单位落后、私企高效是你们。攻击私企做不了,靠国家也是你们。现在都集中起来了,你们还能找各个角度喷

我现在比较担心的是,在 EUV 还没有研发出来,就已经解禁了。

我没开玩笑,天津谈话,我方根本不鸟鹰酱。因为鹰酱有求于人。可以看我想法。也可以看看知乎上这问题的回答

有人问我,光刻胶南大光电已经做到出用于 7nm。

我在评论区就回答了光刻胶、12 寸晶圆依存度高达 90% 以上,但这是不敢,不能卡的项目。

之前日本禁运光刻胶给韩国,恢复后当即损失了 8% 的份额。8% 不多,但却打开了一个潘多拉宝盒。随着时间发展,这数字只会更大。

在整个光刻机项目,类似的还有很多

知乎用户 空一格 发表

关于半导体,其实不是咱本行,但看得多、听得多了,有些体会,给大家提几个醒。

1,谈 EDA 卡脖的,直接绕过。

2,谈 FPGA 卡脖的,绕过。

3,谈模拟芯片卡脖的,绕过。

4,谈光刻胶卡脖的,绕!

5,说我是一名从业人员,摆薪资待遇不如 intel 的,半导体没戏。绕着走!

6,现在还在谈基础科学不行,得从重视基础研究开始抓的。绕远点!

7,谈汉芯造假的,直接躲远点。

8,谈龙芯骗经费的,直接踢翻它!

关键是光刻机,良品率。当然,最关键还是光刻机。

我们的可用于生产 28 纳米的光刻机什么时候出来?看阿斯麦尔下一批什么时候给我们发货。

知乎用户 项羽 发表

看到很多人的回答,我引用一篇 | 宁南山刚写的文章,看过他写的很多篇文章,总体上还是蛮靠谱的,至少资料和出处都是有理有据的,对我来自于通信这个行业也认为其相对其他答主是相对可信

我们把现有的信息进行分析,

理论上如果我们把国产半导体设备每个环节拿出来看,都有国产厂家,而且不少还开发出了 14nm 的设备,甚至个别环节的已经到了 7nm 以下。

在半导体生产设备领域,即使是那些国产化率非常低的产品,都有国产厂家在做,并且大多都已经在产线开始应用了。

比如 ALD 是国产化率很低的设备,但看下图,来自北方华创官网,2018 年实现了国产首台销售的 ALD(原子层沉积设备),可实现 28nm-14nm 的 FinFET 等工艺要求。

即使生产设备的产线量产验证期长达 1-2 年,那么今年也应该验证完毕了。

半导体生产设备所有的领域都有中国公司在做,不是 2020 年的现在才从头开始,包括那些被美系和日系厂家垄断,被认为国产化难度极高的等领域。

最重要的光刻机,按照光刻机 02 专项的时间进度,上海微电子应该是今年底或者明年初搞出 28nm 节点光刻机,再加上产线验证一年,这样看似乎有 2 年内做成 28nm 去美化产线并实现初步试产甚至量产的可能性?

关于光刻机的进度,我看到有很多对上海微电子的质疑,

比如上海微电子虽然有 90nm 600 系列 IC 前道光刻机,但是这些年都只是在展会上展出,或者在实验室,从来没有真正的在产线实际量产,中芯国际并没有购买。

到 2018 年 5 月,上海微电子才累计出货了 100 台光刻机,而且集中在 LED 和 IC 后道封装光刻机。

其实事情并没有那么复杂,上海微电子的 90nm 光刻机 2016 年才研发成功,

2017 年,“90nm 光刻机样机研制” 任务通过 02 重大科技专项专家组现场测试,

2018 年,才完成通过并正式验收。

而这个时候的世界,台积电已经率先量产 7nm 了,中芯国际也在把 14nm 工艺进行客户导入验证。

上海微电子的 90nm IC 前道光刻机,更多的可以看成是技术研发积累的过程。对于中芯国际来说,老式的光刻机早已经折旧完成,价格本来就很便宜,市场上也有不少便宜二手货可以买,那么在 2018 年花费时间验证一台 90nm 水平的国产设备,再用一两年时间,也就是在 2019-2020 年把国产 90nm 光刻机导入产线,其在财务和技术上的意义都不大,不可能大规模购买。

验证更先进的国产光刻机意义才更大。

对于上海微电子来说也是如此,在当前任务排序中,相对于投资建立 90nm 光刻机产线,下一代的 28nm 节点光刻机已经在研几年了,这才是重点投资方向。

我们从目前国内做的比较好的国产半导体设备厂家北方华创,中微半导体等都能看出来,必须要把自己的设备做到 28nm-14nm,中微半导体的刻蚀机甚至进入 7nm 以下的价格较高的先进制程,或者说主流制程,这样代工厂在财务上才有动力导入国产。

反过来半导体生产设备厂家才能真正的实现有意义的产品销售。2019 年华为上了实体清单,国内群众都在支持华为,我也弄了两台 P30 pro,其中一台给家人用,从中也可以看出问题,即使我们支持华为,买的也是新的旗舰机,如果华为现在卖的还是当年搭载 K3V2 芯片的 P6,那么吃瓜群众支持其的动力也会大大减弱。

我为什么要提 P6,当年我就极力推荐老婆买了一台,其结果她在实际使用中对华为手机留下了极为恶劣的印象,从而转变为苹果的忠实粉丝,让我损失了不少钱。

我们一定要以市场化的思想去理解技术的进步。

新一代的产品做出来了,即使对厂家自身,甚至对中国来说都是技术进步,但是不代表其有足够的竞争力在市场上存活,

**也即是不能在竞争中对买家带来更多收益的产品,不是真正的市场化产品。**我们再以国内做离子注入机的烁科中科信为例子,

根据《北京商报》2019 年 11 月 27 日的报道,

烁科中科信自主研发的离子注入机正在进入国内各大集成电路制造厂商,其中中束流离子注入机 CI P900 系列早已通过中芯国际产业化验证, 为实现大批量应用打下坚实基础。

2019 年公司销售各型离子注入机达 11 台。

“经过多年的技术积累,我们实现了离子注入机这一集成电路制造核心关键设备在 28nm 以上工艺领域的进口替代能力和自主可控,具备年产 30 台离子注入机的能力。”

烁科中科信总经理舒勇东介绍说,**研发的中束流离子注入机 65-28nm 工艺量产 12 英寸晶圆超过 400 万片,**达到了国外同类型设备水平,产品已经批量进入市场。

大束流离子注入机工艺覆盖至 28nm,65-28nm 工艺量产晶圆超过 20 万片,高能机预计 2020 年底进入客户端验证。定制离子注入机主要针对 6 英寸及以下市场,设备应用比较广泛,可根据客户具体需求定制。

**从以上也可以看出,中国电科旗下中科信在中芯国际进行产业化验证的设备,也是可以做到 28nm 节点的,这也表明还是要验证较为先进节点的设备,在市场化上才有意义。**我们回到 28nm 节点浸没式光刻机的研发进度,

按照十三五规划的进度要求,2020 年底我国以上海微电子负责集成,

需要研发出 28nm 的光刻机,这个时间节点已经可以从海量的公开信息中可以证实,注意从研发出来,到真正的实现量产,还需要 1-2 年的时间,我们可以按照 2 年的时间计算,也就是到 2022 年可望实现 28nm 光刻机量产。

当然了光刻机这个东西,即使是 ASML 一年也就是生产几百台,上海微电子一年生产十几台几十台,就已经可以称之为大规模生产了。一般认为上海微电子是在孤军奋战,并不是,上海微电子其实是集成商,更多的负责总机集成的研发工作。

例如在我国光刻机国家规划中,中科院给予了上海微电子强大的研发支持,负责了多个核心子系统的研发。

中科院在之前已经参与了不少半导体国产化任务,

我国目前已经在量产 NAND FLASH 的长江存储,就是中科院微电子所和长江存储联合开发的,例如长江存储工艺研发处副总裁霍博士,就同时是中科院博士生导师。

2003 年北大微电子学与固体电子学博士毕业,进入韩国三星电子半导体研发中心存储器事业部从事闪存技术研发。

2010 年回国加入中科院微电子研究所从事存储技术研究,

2014 年 8 月进入武汉新芯集成电路制造有限公司负责 3D NAND 存储器的技术研发工作,再说光刻机,

我们以北京大学官网发布的北京国望光学科技有限公司 2020 春季校园招聘信息为例,

https://scc.pku.edu.cn/employment_ff80808170575b8701705bbc7eb840a9_0.html

该公司以**博士:28-35 万 / 年(特别优秀另议);硕士:20-25 万 / 年(特别优秀另议)**的标准在招聘应届毕业生,

招聘简介如下:

国望光学于 2018 年 6 月 1 日正式成立,注册地为北京市经济技术开发区。

2019 年 7 月,中科院长春光机所、上海光机所同步完成了对国望光学的无形资产增资。目前,国望光学的注册资本为 30 亿元,拥有近 200 项授权发明专利,

完全继承了 02 专项极大规模 IC 制造投影光刻机曝光光学系统一期研发任务所形成的全部知识产权。

国望光学核心团队研发的我国首套 90nm 节点 ArF 投影光刻机曝光光学系统已于 2016 年顺利交付用户(备注:就是上海微电子)。

目前,团队承担的 02 专项二期核心任务 - 面向 28nm 节点的 ArF 浸没式光刻曝光光学系统研发攻关任务进展顺利;

2019 年下半年,国望光学将启动位于北京经济技术开发区 B13 地块的研发、生产基地的建设工作。该项目规划投资 60 亿元,占地近 110 亩,规划建筑面积 13 万余平方米,预计建设时间 3 年。全面建成后,国望光学将拥有 110nm 节点、90nm 节点、28nm 及以下节点极大规模 IC 制造投影光刻机曝光光学系统产品的研发、设计与批量生产供货能力。

与此同时,已研发成功的超精密光学加工、检测、装调装备以及正在研发的高性能显微物镜、紫外成像探测系统等产品也将陆续投入市场。此类高市场价值的衍生产品将与光刻机曝光光学系统产品一道共同构建起国望光学市场成功的产品基础。以上生产基地建设的时间节点来说,2022 年建成生产基地并且具备量产 28nm 节点及其以下光刻曝光光学系统的能力,说明国望光学预估的 28nm 节点浸没式光刻机真正实现产线量产的时间节点是在 2022 年,

那么这和 2020 年 28nm 浸入式光刻机研发成功,

2021 年测试完成并且导入产线验证,

2022 年实现 28nm 节点光刻机产线规模生产,并且自身实现可量产的时间节点是吻合的。尽管时间节点一般都能顺利完成。

知乎用户 知乎用户 y70Tt8 发表

上海微电子如果真是十年只投入 9000 万美元就研制出了 28nm 级别的光刻机,当年日本美国的民族之光 佳能、尼康、IBM 等全世界光刻机行业领袖和无数供应商真的应该立刻切腹自杀了……

巨头无穷无尽的资源投入都死在了光刻机这上面,工业皇冠上的钻石,用上海卖一块足球场那么点地的钱就能搞定了?那上海土地面积足足有 6340 000 000 / 7140 = 880000 个足球场,光上海靠卖地就可以搞出 88 万颗工业皇冠上的钻石。

知乎用户 知乎用户 6U7WHD 发表

看到有些人说有生之年就好笑。除非没几年就要挂,不然怎么能断定十年以后的事情。

中国光刻机的问题在于过去是商业化思维,造不如买,根本没有投入。带路党买办们功劳不小。SMEE 过去十年的累计投资是 6 亿人民币,还不如一台先进光刻机贵。这么小的投资,能搞个样品出来就不错了。

现在半导体制造设备已经是大国竞争的关键领域。从各种信息综合看,国家会不计成本,不考虑局部经济效益,用举国体制,高强度投入,所以很快会有改观的。

光刻机应该很快会突破,明年可能够呛,但说不定能达到勉强够用的水平。

其实现在也有国产的生产线。军工用的芯片,都是国产生产线做的。因为按照中芯,华虹等厂的合同,不可以给中国军方制造芯片。

中国进口,按资金量,分类前十的,基本分两类,半导体相关和自然资源相关。前 10 的规模是指数级递减的。第 10 的资金量不到前三的十分之一。前十之后的,可以忽略不计了,无关大局。

也就是说,美国除了半导体能卡卡中国的脖子,其它无可奈何。

其实半导体领域的竞争,就是经济领域的最后一战。你说国家会不会全力投入。

不用为中国的前途担心。上升的阻力不可能击垮一个国家。如果各位能活十年以上,一定能在有生之年看到中国半导体领域的进步。

以我的估计,5 年之后,美国就拿中国没辙了。这是美国人自己说的。5 年搞不定中国,就再也搞不定了。

知乎用户 我的极刻 发表

别说明年了,后年大后年也不行。

不要小瞧光刻机的难度,中国现在连门槛都没摸到,怎么达到先进。

光刻机这玩意不挣钱,纯市场化很难盈利,ASML 自己也难以保障盈利。

为了保障产品销路,还规定优先供给股东,倒逼英特尔、三星、台积电入股,这三家分别投资 ASML41 亿、5.03 亿、8.38 亿欧元。

ASML 每年 13 亿欧元的研发费用,三大半导体巨头出一半,自己再出一半。

这么说明白了吧,我们至少每年投资双倍的钱,才可能在短时间内赶上 ASML,也就是说每年 26 亿欧研发费用,否则没戏。这钱上海微电子、中科院光电所、合肥芯硕半导体、无锡影速半导体、先腾光电科技哪家出得起?

总之,我国在光刻机领域还是处于非常落后的状态,单纯依靠研究院与民营企业,在长期不盈利的情况下还是很难坚持,唯一能让中国光刻机弯道超越机会还是需要国家大力扶持,在背后从资金、人才、政策上权利支持才有可能赶上现在水平。

知乎用户 行行查​ 发表

光刻机:半导体工业皇冠上的明珠。光刻工艺定义了半导体器件的尺寸,是芯片生产流程中最复杂、最关键的步骤。光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备,集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。光刻的工艺水平直接决定芯片的制程和性能水平。

光刻机的演变及历史性转折。根据所用光源改进和工艺创新,光刻机经历了 5 代产品发展,每次光源的改进都显著提升了光刻机所能实现的最小工艺节点。在技术节点的更新上,光刻机经历了两次重大变革,在历次变革中,ASML 都能抢占先机,最终奠定龙头地位。

顶级光刻机的尖端工艺。目前业内最先进的是采用波长 13.5nm 极紫外光的第五代 EUV 光刻机,可实现 7nm 工艺制程,技术要求极高,单台价值为 1.2 亿欧元,ASML 成为全球唯一一家能够设计和制造 EUV 光刻机设备的厂商。

半导体芯片产业链分为 IC 设计、IC 制造、IC 封测三大环节。光刻的主要作用是将掩模版上的芯片电路图转移到硅片上,是 IC 制造的核心环节,也是整个 IC 制造中最复杂、最关键的工艺步骤。

通过激光或电子束直接写在光掩模板上,然后用激光辐照光掩模板,晶圆上的光敏物质因感光而发生材料性质的改变,通过显影,便完成了芯片从设计版图到硅片的转移。

光刻工艺定义了半导体器件的尺寸,是 IC 制造中的关键环节。

作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大、耗时最长,芯片在生产过程中一般需要进行 20~30 次光刻,耗费时间约占整个硅片工艺的 40~60%,成本极高,约为整个硅片制造工艺的 1/3。

一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。

![](data:image/svg+xml;utf8,)

最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。

最广泛采用的双图案化方案之一是双曝光 / 双蚀刻(LELE)。

该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底上进行刻蚀,得到的图案密度是原始图案的两倍。

自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物,然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构。因此特征密度增加了一倍。SADP 技术主要用于 FinFET 技术中的鳍片形成、线的互连以及存储设备中的位线 / 字线的形成,其关键的优点在于避免了在 LELE 期间时可能发生的掩模不对齐。

193nm 浸没式光刻的 SADP 可以实现 20nm 的半间距分辨率。

EUV 技术出现之前,技术人员利用 193nm 的光刻机,通过把镜头放在水里、相移掩模、多重曝光的方法,一步步推进芯片技术节点,将摩尔定律一直延续到现在。

将 SADP 加倍可以得到四重图案化工艺 SAQP,使得 193nm 浸没式光刻可以实现到 10nm 的分辨率。理论上是可以实现 7nm 节点工艺制程,但是需要的光罩数量非常多,工艺复杂,量产难度大。

光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备,包含上万个零部件,集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。

行行查,行业研究数据库 www.hanghangcha.com

作为整个芯片工业制造中必不可少的精密设备——光刻机,其光刻的工艺水平直接决定芯片的制程和性能水平,因此光刻机更是被誉为半导体工业皇冠上的明珠。

光刻机的工作原理:在 IC 制作过程中,光束穿过掩模及镜片,经物镜补偿光学误差,将线路图曝光在带有光感涂层的硅晶圆上,然后显影在硅片上。激光器作为光源,物镜补偿光学误差,是光刻机的核心设备,光刻机物镜系统一般由近 20 个直径为 200~300mm 的透镜组成。

光刻机决定了晶体管的尺寸,晶体管的尺寸对于芯片的性能具有重大意义。随着半导体产业的向前发展,不断追求着尺寸更小、速度更快、性能更强的芯片。正是半导体行业对于芯片的不断追求推动了光刻机产品的不断升级与创新。

光刻机总体构造解析

光刻机的发展历程

由于光刻机涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多项先进技术,是所有半导体制造设备中技术含量最高的设备,因此也具备极高的价值,且价格增长极快。2018 年,中芯国际向芯片机器制造商 ASML 发出了第一张订单,订购了一台最新的 EUV(极紫外线)技术光刻机,机器价格为 1.2 亿欧元,于 2019 年交货。但目前由于种种阻碍,ASML 迟迟未向中芯国际交货。

中国的光刻机发展起源于 20 世纪 70 年代,伴随着半导体行业研究的兴起,中国于 1977 年研发成功第一台光刻机,1978-1985 年先后研制成功三台光刻机,当时中国的半导体产业虽然没有达到当时世界先进水平,但是差距并不大。80 年代底,由于中国信奉 “造不如买” 的发展理念,导致中国半导体行业停滞不前,直到 2002 年,国家开始重视光刻机的研发。至今 18 年的时间里,中国在逐步缩小和国际光刻机巨头的差距,路漫漫其修远兮,上海微电子等光刻机企业的崛起,表明中国正在追赶的路上坚定不移、勇往无前。

光刻技术是集成电路制造产业的核心,决定着集成电路的元件特征尺寸。伴随半导体产业摩尔定律延续,极紫外光刻(EUVL)被公认为是最具潜力的下一代光刻技术。

极紫外光刻:使用波长为 13.5nm 的极紫外光,是传统投影光刻技术向更短波长的延伸,正处于产业化的临界点。作为工业制造领域尖端技术的融合,世界上只有少数几家研究机构及公司掌握此技术。目前,EUV 光刻技术的国际垄断局面已经初步形成,目前全球只有 ASML 一家能够提供波长为 13.5nm 的 EUV 光刻设备。

国产光刻机产业链:按图索骥

光刻设备 ASML 高度垄断,2018-2020 年市场有望由 88 亿美元成长至 115 亿美元。根据 Technavio 研究报告预测,2017 年光刻机市场为 88 亿美元,同比增长 13%。预计到了 2020 年,市场将达到 115 亿美元,CAGR 为 9.18%。

行行查 | 行业研究数据库

手机访问 “行行查” 小程序更方便

知乎用户 印记 发表

我不懂,但我家里有个人懂,业内人士,不算权威,但也算是资深人士吧。

之前闹得沸沸扬扬的时候,我跟他请教过这个问题,他的意思是:

如果不开辟新赛道,在这个老赛道上比,在现有规则体系下比,也许我们自己也能造出来世界领先的光刻机,但这不是三五年的事情,甚至不是十几年的事情,悲观的说,这也许是有生之年系列(他是长辈,就是不知道他说的有生之年,是他有生之年,还是我有生之年,这中间隔了二十年)。台湾那档子事搞定了,领先世界光刻机都搞不定。

开辟新赛道的话,新赛道在哪里,还不确定,有初步想法(此处一堆我听不懂的),但是还很初级,能不能行不好说,他个人对新赛道表示悲观。

最高效的方式,是改变现在规则体系。但是怎么改变,是政治家的事情,不是他们搞技术的事情。

但是这里必须要说明一下,我家这位长辈呢,性格就是比较悲观,对任何事情都是如此,一生谨小慎微,不炒股,不买基金,买个银行理财都不太放心。他说的话呢,当个参考,就跟医院医生一样,讲话总是往严重了讲。

我个人的感觉,乐观大可不必,太悲观也不至于。有限悲观吧。

知乎用户 smartfortwo 发表

最高赞拉黑挺溜啊。看看时间节点是怎么来的。另外,尼康没人用是因为没有双工件台。

知乎用户 张浩​ 发表

还是那句话,关键问题不是光刻机,是人。

知乎用户 速石科技 fastone​ 发表

总有人低估这个行业的难度

觉得 “当年 XXXX 我们不也是就这么搞出来了”

半导体行业真的是全方位的差距

不说光刻

就说 EDA 吧

因为我们有很大一块业务

就是给半导体企业用的 EDA 提供算力支持

这块我们非常熟

就我们目前观察到的情况来说

海外三大 EDA 厂商几乎把市场全占完了

国产 EDA 的声音非常小

多小?

几乎可以忽略不计

而 EDA 又是芯片设计的源头

重要性不言而喻

展开说一下我们观察到的具体情况

首先我们搞的东西叫云端高性能计算

这玩意儿在很多领域都有应用

具体到半导体行业

就是很多企业在用 EDA 做设计时

会由于各种原因

比如硬件预算不足、算力波峰等等

出现公司机房机器不够用的情况

这时候

云计算短时间内可提供海量算力这个特点

就非常符合企业的计算需求

而且由于云端资源可以弹性使用

价格往往不高

附上几个我们最近做过的 EDA 上云案例:

速石科技 fastone:EDA 云实证 Vol.7:揭秘 20000 个 VCS 任务背后的 “搬桌子” 系列故事速石科技 fastone:EDA 云实证 Vol.4:国内最大规模 OPC 上云,5000 核并行,效率提升 53 倍速石科技 fastone:EDA 云实证 Vol.1:从 30 天到 17 小时,如何让 HSPICE 仿真效率提升 42 倍?

希望有朝一日能够看到各大半导体企业

多多使用我们国产的 EDA 主流应用在云上跑

各位 “跨行的同行们”

请务必加油鸭!!!

码字不易,喜欢请点个赞哦~

知乎用户 柏铭 007 发表

这个不可能。

光刻机是芯片制造的关键设备,全球最大、技术最先进的光刻机企业是 ASML,然而技术并非完全由 ASML 掌控,这是欧美日等众多经济体科技实力综合的结晶,需要众多经济体的众多科技企业共同努力提高技术先进的元件组成。

中国的企业或是媒体人士不断发布光刻机取得突破的消息,然而这不仅仅是一两家企业取得突破就行,需要整个产业链取得突破,例如激光头企业要提供技术足够先进的元件等。

目前的这些所谓突破更多是理论上的,而实际上呢有院士说中国已实现自主研发的先进工艺只打到 55nm,目前在推进 40nm 的自主研发。

中国的光刻机等芯片制造产业链宣称的技术突破看看就好,它们真正能做到多少水平,得看中国芯片制造企业可以无需忧虑 M 国的影响,以多少 nm 工艺为深圳科技企业代工生产芯片,那才是中国芯片制造工艺技术突破到何种水平的真实表现。

知乎用户 andyfan 发表

明年肯定不可能,目前生产线上还没看到呢!别说这么精密的设备了,你造台普通市场上卖的汽车,研发好,造好原型车,还得搞各种路试吧?

反正目前国产的确实交国家项目交过,但实际的生产线没看到过!

等真上了生产线开始调试再说吧!就像之前的大硅片还有光刻胶,其实只是因为供应链问题;选了几家开始测试,离真正量产使用还远着呢,股市哪几家已经沸腾的不行了!

知乎用户 宏德伟创 发表

光刻机是一种十分特殊的大型设备,在这个领域,属于荷兰的 ASML 一家独大,日本的尼康、佳能虽然价格便宜,但是远逊 ASML ,而我国,在很早之前就开始研发光刻机,也已经推出了比较成熟的产品,但是一般用于粗放型的半导体产业上面,跟尼康、佳能比还有差距,跟 ASML 的差距,更是短期内无法弥补的。

而芯片产业呢,更是我们现在大力发展的产业,原因也没有别的,因为它太重要了,基本上大部分的电子产品、汽车上面都要用到各种芯片,而我国现在 80% 以上的芯片都要进口,每年我们大概要花三千亿美元进口芯片,是进口贸易中最大的一单,比石油进口的金额还要大。特别是高端芯片,基本全部依靠进口。之前中兴事件闹得很凶,就是美国在卡我们芯片。实际上,如果外国如果要在芯片上卡住我们,我们短期内还真没有办法。我们现在的优势是市场巨大,需求巨大,外国企业即便有政府压力,也不敢轻易放弃我们这么巨大的市场。要制造,研发制造芯片都是很费钱的,如果市场萎靡,那么芯片企业很有可能就要亏损,我国的芯片市场如果被屏蔽,那么全世界的芯片企业恐怕日子都会很难过。

虽然由于市场的原因,一般的企业不会不出口芯片给我们,但是如此巨大的一块市场,一直把控在外国人手里,始终不是长久之计,因此我们现在大力发展芯片产业。

但是,芯片产业要想全面发展,真的是很困难的,这不是一件简单砸钱就能完成的事,需要大量的研发技术,众多产业链的配合,还要大量的时间积累,绝不是一朝一夕的事情。就拿芯片制造这事来说吧,抛开封装,单单就说在晶元上制造出我们想要的芯片,就需要五个步骤,分别是 photo、etch、thin film、diff、c&c,汉语来说就是光刻、刻蚀、薄膜、扩散、清洗五个大步骤,而且这五个步骤不是说一遍就完事,每个步骤里又细分好多小步骤,每个步骤都会还会有不断的反复。从第一步开始,到最后一步结束,外行人看到的是一个亮闪闪的晶元硅片进去,又一个亮闪闪的晶元硅片出来,实际上,这个晶元硅片已经在各种机器里面进行了各种复杂的物理化学反应,集成了无数的电子元件,这样小小一片硅片才拥有了记忆存储信息,运行指令的功能。这才有了我们用的手机电脑,实现各种功能。这个过程有多复杂,大家可以想象一下。

芯片制造工艺是十分重要的一环,这个环节要比设计还要难,因为需要配合的东西太多了。首先说上面五个步骤就需要大量的设备,大型光刻机,ASML 的设备这个近几年大家经常听到过,除此之外,还有很多有名的半导体设备公司,比如应用材料、日立、东京电子、LAM 等等,提供其他设备,虽然不像 ASML 光刻机那样动辄就几亿一台,但是几千万一台是很常见的。别的不说,就说自动化生产运输晶元到不同机台的小车,一台就可以买一辆宝马车。硬件是一方面,软件也是另一方面,现在都是自动化生产,需要大量的软件对整个生产过程进行控制,那些软件都是十分昂贵的,有的一个系统就要几亿。设备搭配好了,一个个都那么昂贵,那么原材料也一定要净度超高的才可行,除了晶元之外,还有大量在生产制造过程中用到的气体液体,而这些,一般都是由日本公司提供的。三星已经是芯片领域的佼佼者了,日本说要停止对他们的原材料供应,立马就抓瞎了,可以看出来,原材料也是十分重要的。

现在,我们做的比较厉害的,应该是华为的手机芯片设计这一块,但是在生产制造,原材料,设备等各个方面,跟几个芯片强国差距还是蛮大的。抛开设备公司不谈,就说芯片这一块,美国有英特尔、高通,韩国有三星、海力士,而我们大陆这边最强的也就是中芯国际,但是跟这些企业比还是差距蛮大的。如果放眼整个国家,台积电的芯片制造技术是首屈一指的,是可以跟三星、英特尔并驾齐驱的存在,虽然它只是个代工厂,但是芯片行业的代工厂不是其他行业的代工厂,代工的制造技术绝对也是领先的。如果什么时候大陆能够有一家跟台积电比肩的芯片企业,那么那个时候我们就可以称作是芯片强国了。

而如果说要实现半导体行业全面的强大,那么我们要在芯片设计、制造还有半导体设备和原材料方面的全面发展,形成完整产业链,这样才会彻底摆脱芯片受制于人的局面。要实现这些,任重而道远啊。

关于宏德伟创科技
宏德伟创科技有限公司总部位于深圳市,具有 20 年电子元器件分销经验, 是电子行业著名的混合型电子元器件一级授权代理商和现货分销, 方案技术综合服务商,同时也是中国电子市场价格指数数据采集点,半导体应用联盟发起单位,华强网十大优质供应商。
我司主营产品:32 位单片机 MCU、电源 IC、二三极管、传感器、肖特基等。
分销产品:ST、TI、ADI、IR、NXP 等。
一级授权代理:美国泰德半导体有限公司、昆山鼎富电子华南地区特约经销商、艾佛森传感器中科芯 CKS航顺 HK 等。产品广泛应用于:数码消费类电子、工业、安防、智能家居、储能电源等电子产品领域。
公司拥有先进的管理体系,完善的客户服务体系和一批高素质的销售服务队伍,一直坚持 “质量保证、共荣共赢、不断创新” 的经营理念,以专业精神,持续发挥在技术、资金、人力资源方面的优势,为国内外客户提供一流的方案设计、品质、价格等一站式元器件采购服务,立志成为中国最好的电子元器件供应商,为中国半导体行业贡献一份微薄之力!
点击查看更多国产电子元器件商城采购网_国产 IC 一级授权代理商_TD 泰德中科芯 CKS 航顺 HK 授权代理商_宏德伟创 - 深圳市宏德伟创科技有限公司​www.szhdwc.cn/

知乎用户 乔令同学​ 发表

洗脑文太多,很多人以为国产替代已经牛逼到吊打全世界了,好在中芯国际最近发布了科创板上市的招股书。

招股书我就不贴了,在上证交易所官方网站可以下载。

在晶圆制造领域,全球三强的企业分别是台积电、韩国的三星和美国的格罗方德。由于三星的半导体制造基本不对外,所以国际上的排名通常不会排三星半导体。按照技术制程来划分,台积电和三星的技术最先进,已经可以精确到 5 纳米技术,而中芯国际的技术也突破了 14 纳米。

目前最先进的技术制程是 5 纳米和 3 纳米,台积电和三星都有这样的技术,为什么中芯国际没有呢?

因为光刻机根本没有国产,咱们买不到最先进技术的光刻机。全球技术最好的是荷兰的 ASML,这家公司背后的大股东是因特尔、三星和台积电。

所以别说明年了,就是五年以后咱们也不一定能研发出光刻机。

荷兰研发了几十年了,光源也是靠美国,镜头还是靠德国。老美对咱们得态度一向比较谨慎,连 ASML 的成品都限制卖给咱们,就别说上游的技术了。

知乎用户 手提金缕鞋 发表

分割线后的答案是我去年写的,距离提问中的 “明年可以达到世界较为先进的水平,开始迈入芯片强国吗?” 已然过去一年多了。结果如何,想必我们已经看到了。

那些个对这一行业的了解远不如对波多老师熟悉的键盘客 ,张口闭口 “人定胜天”“前辈不是也能造出原子弹嘛” 的道德制高点们 出来走两步呗。 看看你们一年前的答案,以及对我的评论,再看看现在的芯片行业,当下就是你们所说的芯片强国了?现在捣鼓出来的光刻机就算是世界较为先进水平了?

我只知道,一年过去了 AMAT 依然是行业领军,ASML 照样在曝光机领域一家独大,TELL, KLA, LAM 还是牢牢的待在前五,就算把范围放大到前十 仍然找不到半点国产半导体设备的身影。

有那些个胡说八道的功夫先沉下心来多了解一下这个行业吧,对自己所不了解的多一分敬畏吧。

吃这碗饭的人 终究还是比看热闹的对这一行业熟悉一点的。

-—————————————————————————————————————————————–

如果明天出了新闻: 明年中国足坛会出这个超越梅西的天才,或是篮坛蹦出一个不逊乔丹的苗子,你会怎么想? 谣言呗,吹牛逼不上税呗,这时候大家无比清醒 无比客观。在比如有人告诉你 明年中国企业能自主研发超级跑车 性能媲美布加迪 兰博基尼 ,海鸥 罗西尼 天王表 马上就要比肩百达翡丽 江思丹顿 你会怎么想?没睡醒吧 人有多大胆地有多大产吧。

那么好,上述这些大家都不会相信,为什么有人会相信咱们能在一两年或是三五年就研发出超越目前主流机型的光刻机呢?答案只有一个: 对这一行业不了解 ,无知者无畏 敢叫日月换新天

作为一个 06 年踏入半导体行业 长期接触光刻薄膜的老司机不请自来 说两句吧

具体行话我不讲了,光刻机是个什么东东我也不说了 几年前有人问我干嘛工作的 我说修半导体设备的 问的人都是一脸 OMG 这年头还有半导体收音机啊,没错 很长一段时间以来 对于广大圈外人来说 半导体就是收音机。所以 对于这些人不要说什么镜头 不要说什么台子 不要说什么 ASML 东电 AMAT 这些垄断巨头。我就说说最直观最简洁的一些东西吧

我 06 年入行 六寸代工厂,干的就是光刻 我们的设备是日本和老美 90 年代的, 2011 年我们新的 8 寸线 大部分用的还是人家 90 年代的设备, 2011 年以后陆续接触了沈阳芯源 上海微电子等出厂的设备 怎么说呢,2011 年新出炉的设备 效率也好,稳定性也好远远比不过旁边放着的九几年出厂的国外设备。简单点说吧 涂胶显影 去胶 炉管这些相对来说技术含量不是那么高的设备 国产厂子还能夹缝中求生存 比较日本以及西方先进机型至少有十年差距。光刻机是什么? 那是整个半导体金字塔的顶端 若是要比较这个 说是比人家晚了二十年都是乐观推测。

大到机台,小到化学品,甚至一些貌似不起眼的传感器 密封圈 压力计 我们都没…………

我不是泼冷水,我不是丧气,也没有灰心

我很欣慰,这两年关注半导体的人越来越多,至少知道曝光机而不是只晓得收音机了

我只想说半导体这条路还很长,很崎岖,很坎坷,就像你不会相信国足明年就世界杯夺冠一样 也不要总抱着人定胜天的想法幻想着短时间变成芯片大国

今年是我进入半导体行业的第十四个年头 这几年我已经接触了越来越多的国产设备 这是一个好的信号 相信以后会接触的更多,我们这个行业国产化会越来越好。

希望大家不要好高骛远 保持关注 多一分关心 少一分盲目

知乎用户 浮云 levin​ 发表

关于光刻机,这里默认是 EUV 光刻机,我觉得大致会有两种可能,最终达成一个成果。

第一个,是像我们大多数人认定那样,国家组织科研人员大力攻关,突破封锁,最终研制出我们自己路线的 EUV 光刻机,并投入到 5nm 以下制程的芯片制造中去。这条路线是最扬眉吐气,也是被某些技术派认为最不可能实现的。毕竟,还有无数难关,类似一个小学生,马上被拉去参加高考,还要一举考上清北。

第二个,是我国的研制已经有突出成果,类似 DUV 性能的光刻机已经实现,美国那边压不住了,政府放开禁令,ASML 主动和我们联系,出售乃至与我们合作研发制造新一代 EUV 光刻机。这里面有套路,但也会有一些机会,我们要避免的,是无条件相信,重蹈运十研制时期的覆辙。这时候的团队,要和自主研发的团队相对隔离,只传递一些突破性技术信息,排除干扰,各自从不同的技术路线去获取成果。这条路线其实也考验我们第一条路线的成果,只有在我们有明显突破的情况下,美国态度才会有松动。

这两天湾媒那边铺天盖地的新闻:ASML 一个副总裁在前两天说要卖 EUV 给我们,还说他们与美国利益并不一致。在当下这个时间点,看看就好,但是空穴来风,大家已经开始互相试探了。

无论走什么路线,时间点是一致的,2025 年前(本来写 2025 前后的, 想了想把 “后” 字去掉了)。大家也急不来,毕竟,抗日战争也得打八年。而且到那个时间点上,应该不仅是光刻机出来了,而且是整套的应用能力都掌握了,拿到就能用,就能出成品,追赶甚至达到台积电的制造水平。

肯定会有很多人嘲笑:屁都不懂,哪来的信心。通篇不讲技术难点,不讲研发进度,就莫名的沸腾。坦白说,我是真不懂,但也不是莫名沸腾。欢迎各位留言,4 年后来打我的脸。

另外讲个小故事:1937 年日寇全面侵华,战局一面倒。周佛海、胡适、陈布雷、陈立夫、顾祝同等人组织低调俱乐部,整天算计着中国必败,如何求和。当年中国钢产量 4 万多吨,日本 635 万吨,连个零头都不到。然后那个圈子里就周佛海真的跨出去了,38 年(南京大屠杀一周年之际)跟着汪精卫发艳电投日,40 年建立汪伪政权。其实到 41 年就看出问题来了,小日本不长久了。周佛海当年就通过双面间谍程克祥联系戴笠,开始卖汪精卫。干到 45 年,彻底完蛋。这个时间段,可以好好算算,跟芯片战场还挺一致的,19 年是最难的时候,21 年多少有点光线透进来了,到 25 年,拭目以待呗。

各位低调俱乐部的朋友们,虽然方向不同,但刻舟求剑的事,大家都做,就别互相嘲笑了。你看到是 4 万钢产量对 635 万钢产量,我看的是八年抗战胜利,现在都 21 世纪了,给打个折,4 年差不多。立帖为据,留待时间验证吧。

知乎用户 ArtoriasPhD 发表

虽然我是造光刻机的 对 process 不是非常熟,但全世界的 fab 我还是去了不少的,跟客户那边的 processing engineer 经常聊天也大概知道现在最新的工艺流程。

首先我觉得这个问题问的并不专业,什么叫芯片强国,芯片设计强国还是芯片制造强国?就好比我给了你一个全世界最先进的机床,你有信心制造出日系车便宜耐操省油的发动机吗,你知道上万个制造参数是按照什么顺序如何搭配的吗。能否有先进的光刻机跟是不是芯片强国没有任何关系。

photolithography 虽然是芯片制造最重要的环节,但其他的的每个步骤也都无比重要,etching, mechanical/chemical polishing, CVD/PVD, 哪一环的一个参数出了差错都会导致产品报废或者 rework,就连对工艺制程不敏感的 TLC ssd 现在都是在西安三星和 Intel 的大连 fab 制造的。能否达到稳定 production 的水平我不好说,因为我去过的 fab, intel, micron 和 tsms 的生产线没有用国产光刻机的。

芯片制造是烧钱的产业对设备的稳定性要求非常高,光刻机万一停了整个生产线都要停,每小时几十上百万的损失,有几个 fab 放着成熟稳定的产品不用敢来试水没听说过的机器。

而且你的问题下面又说能否达到日本尼康的水平,那你的问题应该是能否迈入光刻机制造强国,日本尼康是设备制造商,不是芯片制造商。

芯片制造有可能会分一杯羹,毕竟现在 fab 是夕阳产业劳动密集型国内劳动力便宜。芯片设计,那还差的很远。

貌似这个回答有不少小伙伴看的,下面分享几个关于光刻机的随手写的帖子仅供娱乐。

对于碳基芯片的出现你有何见解? - ArtoriasPhD 的回答 - 知乎

对于碳基芯片的出现你有何见解?

如何使用光刻(Photo lithography)把制备的纳米特征的尺度降到 100nm 以下? - ArtoriasPhD 的回答 - 知乎

如何使用光刻(Photo lithography)把制备的纳米特征的尺度降到 100nm 以下?

电子刻蚀能否替代光刻? - ArtoriasPhD 的回答 - 知乎

电子刻蚀能否替代光刻?

操作先进光刻机的员工被剥削了多少剩余价值? - ArtoriasPhD 的回答 - 知乎

操作先进光刻机的员工被剥削了多少剩余价值?

精密运动控制和常规的控制相比,有什么特别之处吗?相应的控制系统设计过程有什么特点吗?? - ArtoriasPhD 的回答 - 知乎

精密运动控制和常规的控制相比,有什么特别之处吗?相应的控制系统设计过程有什么特点吗??

地震对光刻机和高精度机床这类高精度仪器与设备有多大影响,地震后该如何恢复? - ArtoriasPhD 的回答 - 知乎

地震对光刻机和高精度机床这类高精度仪器与设备有多大影响,地震后该如何恢复?

知乎用户 溪亭日暮​​ 发表

看这两天的股市,光刻机板块很活跃,张江高科都涨停了。

国产光刻机这次从 90nm 到 28nm,一次跳过 65nm、40nm 这两个节点,的确是让人兴奋的,至少觉得我们追上 ASML 有戏了。所以就从三个问题来看这个热点消息吧:

- 光刻机意味着什么?

是国内芯片产业的痛点呀。对于不断进步的半导体设备来说,其中最重要的就是光刻机。

众所周知,芯片设计制造技术成为世界主要大国竞争的最重要领域之一。而芯片生产设备又是芯片大规模制造提供制造基础,因此称得上是整个半导体芯片产业的金字塔塔尖。目前用于生产芯片的光刻机是中国在半导体设备制造上最大的短板,国内晶圆厂所需的高端光刻机完全依赖进口。

在高端光刻机上,除了龙头老大 ASML,尼康和佳能也曾做过光刻机,尼康还曾经得到过 Intel 的订单。但是近些年,尼康在 ASML 面前被打的毫无还手之力,高端光刻机市场基本被 ASML 占据。

而华为的代工厂是台积电,如果台积电被限制向华为出售产品,那么中国大陆的企业将会非常难受。

所以呢?我们无论如何得有个可以拿来和 ASML 和台积电抗衡的制造商啊。

- 国产首部 28nm 光刻机能干啥?

有消息说,国产首部 28nm 光刻机即将交付 [1]。将在 2021-2022 年交付第一台 28nm 工艺的国产的沉浸式光刻机 [2]

有人问 28nm 能干啥?毕竟台积电已经是进入 5nm 了,国内最先进的芯片代工厂中芯国际也进入 14nm 了,连华虹半导体都说明年要进入 14nm 了,28nm 似乎真的落后了点。

现在最先进的 EUV 光刻机可以做到的 “雕刻精度” 是 7nm,相当于一根头发的万分之一。

不过,如果从现实的角度看,其实 28nm 基本上可以搞定国内至少 70% 的芯片制造了,这 70% 的芯片制造可以不用看 ASML 的脸色,这是不是也挺重要?

来看一下中芯国际 2020 年一季度报表,14nm 业务的收入占比为 1.3%,而 28nm 制程业务收入占比为 6.5%,比 28nm 或更落后的制程收入占比 92.3%。从这里就可以看出来,中芯国际 98.7% 的芯片是 28nm 或更落后的制程贡献的,只要有 28nm 的光刻机,中芯国际可以用国产光刻机搞定 98.7% 的芯片。看起来有点香。

所以综合起来看,这台 28nm 的光刻机意义非凡,能让国内 70% 的芯片不用依赖国外的光刻机就能够生产出来了,算是一件令人振奋的事情了。

- 光刻机的国际差距有多大?

差距还挺大的,现在提 “芯片强国” 的概念有点夸张。

在芯片这一场没有硝烟的斗争中,以光刻机为代表的半导体设备扮演了非常重要的角色,但国内在这一领域差距太大,要想在短期内实现国产替代并不现实。比如,目前中芯国际快要达到量产 7nm 工艺芯片的技术,而华为下一代的芯片采用的是 5nm 水平,光是这点,中芯国际就很难企及了。

而据说台积电已经触摸到 3nm 芯片的门槛了,可见中芯国际和台积电的差距还不是一星半点。

为什么中芯国际和台积电差距这么大呢?是因为台积电有高端光刻机的原因嘛?(目前台积电从 ASML 公司进口了两台最先进的 EUV 光刻机用于 5nm 芯片的生产,而中芯国际在这方面还是空白)

只能说,有这个原因在,但应该不是主要的。主要的原因还是人才、资金投入等等这些战略相关的因素。

所以说,无论是不是能成为 “芯片强国”,光刻机的差距的确是我们必须正视的一个问题——因为没有高端光刻机就无法生产出高端工艺水平的芯片。目前中国最牛的光刻机生产商是上海微电子装备公司(SMEE)。

也有业界的观点认为,芯片制造最主要的差距并不在于光刻机,而是在于人才

如果依靠独立自主的研发制造,中国在未来一段时间内很难做到领先。但好消息是,后摩尔时代下,随着制程的不断缩小,继续压缩制程的难度越来越大(比如在 7nm 这一关口阿斯麦差点放弃),这也就意味着西方国家要想在这一领域再上一个台阶也是难上加难,相当于留给了我们一些追赶的窗口期。

无论如何,接下来就看这台光刻机什么时候面世了,希望是越早越好。

同时也希望上海微电子再加把劲,要是能够把 10nm、7nm 的光刻机造出来,再来谈 “芯片强国” 的问题可能更靠谱一些。

参考

  1. ^ 凤凰网视频:打破垄断在望!国产首部 28nm 光刻机即将交付 http://news.ifeng.com/c/7x9udUUjSK9
  2. ^ 新浪科技:上海微电子:第一台 28nm 国产光刻机交付在即 https://tech.sina.com.cn/roll/2020-06-08/doc-iircuyvi7262074.shtml

知乎用户 咖啡因 发表

我也很奇怪,现在知乎上的一些人,看到光刻机 3 个字好像就要吓尿了,好像中国的芯片产业立马完犊子了似的。

光刻机的市场规模本就不大,买家屈指可数,而排的上号的卖家更少,除了 ASML 基本就是 smee。

而且我们也不是从 0 到 1 解决有无问题,而是如何做到不落后太多、满足国内主要制程的芯片制造的问题,之前 smee 十年的研发投入(貌似 6 个亿)还不如国外一台进口光刻机的,但 smee 的技术一直在稳步迭代,现在无非就是需要加大投入尽快把 28nm 搞利索,后续制程加速研发。

最怕的是举国体制下砸钱也没用,比如搞了那么多年才利索的航发。但是从 smee 目前的情况看,目前属于砸钱就能起效的状态。

而且,在目前的市场上,10nm 以上制程的芯片才是盈利的重点。“发达国家粉碎机” 的正确姿势,并不是我完全赶超你的技术,而是保证自己的技术和产品能够蚕食你主要走量和盈利的市场份额,使得你当前的盈利能力,赶不上新技术的研发投入即可。

更何况摩尔定律挡在前面,进一步提高制程的难度和成本是指数级增长的,说白了,其实中国只要把用于制造 10nm 以上制程的芯片的光刻机搞利索了,美国佬这张牌就打废了。

真正需要担心的是,先进光刻机≠先进制程工艺,现在最大的问题是,就算有了好的光刻机,也很难短期内吃透先进制程芯片的制造工艺,这才是让人觉得台积电难搞的地方。

知乎用户 bplzl 发表

光刻机技术没有不可逾越的障碍,要做一台出来不成问题,问题在技术的成熟度和可靠性。好在我们的内需够强,有的是机会让机器成熟。目前,先进光刻的各主要部件都已经出现或已经产生阶段性成果,如高精度双工作台、DUV/EUV 光源、光刻胶、高纯度基材、精密光学系统等,2020 年底~ 21 年中,能生产 28~14nm 的国产光刻机样机即将面世。对中国来说,国家意志是技术发展的一个重要保证,我对国产先进光刻机充满信心。

知乎用户 智慧风暴读书会 发表

你一定不知道光刻机的历史其实是一部老美卧薪尝胆的复仇故事,老美的光刻机曾经垄断全球,却被日本的尼康打得落花流水,不甘心失败的老美不得已扶持了荷兰的阿斯麦尔并一举将尼康击溃完成了复仇我们不得不承认一个非常残酷的事实,除了尼康短暂的登顶过金字塔尖之外,至今还没有一个国家能够挑战老美的霸主地位,阿斯麦尔的崛起背后依然是老美的成功,当我们的互联网巨头在做游戏,在社区团购惦记着几捆白菜,在忙着卖货时,对手正在研发光刻机,在做人工智能,在准备登陆火星,这个时候再喊一句我们的半导体一定能够弯道超车,岂不是个笑话,欧洲科学家曾说过,给中国人全套图纸都做不出一台光刻机,这不是嘲讽,阿斯麦尔在极紫外光刻机的研发中每年需要投入十亿欧元而国内研发光刻机的厂商上海微电子过去十年的研发经费,只有六亿元,极紫外光刻机的精度是纳米级的,对光的集中度要求非常高,相当于你拿手电筒照到月球上,光斑大小不能超过一个硬币,但我们至今还没有一个科技公司做到这一点,极紫外光刻机的研发周期相当长从 97 年启动到 2015 年第一台光刻机下线将近 20 年中,英特尔不计成本地召集了几百位顶级科学家先从理论上验证 Eu v 的可行性。然后拉入阿斯麦尔将理论转化成了现实,我们能找出一个像英特尔这样坚定不移的科技公司吗,答案其实大家心里都非常清楚,单打独斗永远造不出光刻机,正是有了英特尔的领导力,有了荷兰公司的整合能力,有了德国蔡司的默默奉献甚至有了日本早年的技术沉淀,才最终成就了阿斯麦尔的一家独大,在光刻机领域,追赶几乎是不可能的,有些东西落后了可能就永远落后。强大如华为这样的公司在芯片断供后,也不得不卖掉了荣耀,断壁出生,在一切芯片驱动的时代,摆在我们面前的除了委屈求全实在是一个无解的问题,幸好 7 纳米甚至更高精度制程的芯片暂时并不会对国计民生产生绝对的影响,我们现有的芯片制造水平已经完全可以支撑起绝大部分需求,今后我们只要能够保持奋起直追,紧紧咬住并逐渐缩短差距,在未来掌握一定的话语权也并非没有可能,相信凭借中国人的智慧,韧劲和拼劲将来的某一天我们的半导体事业一定不会再受制于人

世界名著大师课

知乎用户 蜀山熊猫 发表

根据财报等公开数据,半导体设备及材料里的头部企业荷兰 ASML 每年的研发投入是 20 亿美元,泛林是 11 亿美元,KLA 是 5 亿美元,而整个中国半导体设备领域,总投入不到 1.5 亿美元(而国内营收和投入最强的两家每年投入是:北方华创 7500 万美元,中微 3300 万美元,而中国做光刻机的龙头是上海微电子设备,我就不提他们研发数字了,很多券商分析报告有提,随便搜搜就知道了。)

结论我就不下了。


另外提一句,正常讨论得有个共同的价值观,那就是得建立在咱们都相信人家上市公司财报真实的基础上的。如果你觉得人家财务造假隐瞒了研发经费,怕人家老外知道了真实数字吓得跳起来卡脖子,或国内上市公司的财报自古就不可信之类的,就节约点精力,咱们就不聊了,价值观都不一样,还论个啥呢。

跟什么内幕阴谋论相比,我还是更愿意相信财报的数据。

另外,我还真没见过有把用到了刀刃上的研发经费少报的,跟补贴退税有仇呢?哈哈。。

知乎用户 辰熙 发表

虽然说我们国家体制有集中力量办大事的优越性,但这也得看是什么大事,以及国家集中了多少力量。

光刻机这玩意如果是在纯商业化的情况下那绝对是亏本买卖,即使是牛如 ASML,光刻机的产能也是低得令人发指,与此同时,为了保障盈利和销路,ASML 还采用了主要用户股东入股(包括英特尔、三星和台积电)、研发费用一半由股东们出资的奇葩体制,当然了,生产出来的光刻机也是优先供给股东们,其次才是其他人。

换句话说,ASML 能有今天,完全是靠那几个大股东托底,大股东们资助它研发,花钱买它的产品。

我们中国能这么干的情况只有一种——国家队入场,至少也必须要由国企牵头。与此同时,前期国家必须投入巨量资金进行研发投入。因为我们的光刻机技术是严重落后的,所以必须靠砸巨资,来打造一个中国 ASML,再参照 ASML 的模式,国内几个芯片设计巨头估计也会很乐意参股。

但是如果说到时间,三年后,在资金人才等没有出现问题的前提下,这个 “中国 ASML” 能造出纯国产的 12nm 光刻机,我认为就已经是非常乐观的估计了,毕竟科技领域总是得遵循基本法的。

而要成为芯片强国,光有光刻机还不够,你还需要一个英特尔,一个三星半导体,亦或者一个台积电。

知乎用户 asfd asf 发表

都 8 月份了,最近大家有没有感受到相关信息越来越少了?这说明我们的进度开始有实质性阶段了,缺乏透明度,老美的一些操作就缺乏准确性了,打不到点子上。倒不是怕,但是老美一些操作确实能影响进度,攻坚阶段犯不着宣传……………………

以下原答案

耐心等公开消息,该放消息的时候自然会有官方消息出来,小道消息不足信

目前只能明确的说,光刻机只是个时间问题,因为美国错过最佳打压时期,现在只能阻碍,无法阻止

知乎用户 仁脉教育 发表

如果不是上海当年下决心搞芯片……

作 者丨 张静波 华商韬略原创文章

2011 年,64 岁的上海芯片产业奠基人江上舟,走到了人生尽头。

弥留之际,他始终放心不下中国的芯片事业。

直到有人在他耳边大喊一声——“光刻机”,他才睁开了眼睛。但最终,还是抱憾离去。

上海,中国第一大城市。

大多数人对它的印象,还停留在中国的经济、金融、航运中心,或者是老工业基地,纺织业发达。

但其实,今天的上海,更是中国芯片产业的排头兵!

上海的芯片产业有多牛呢?

数据显示,2020 年,上海的集成电路产值超过 2000 亿元,全国占比 22%。

乍一看,这数据好像也不咋地,但枯燥的数据背后,隐藏着一个很多人都不知道的事实:

上海已是国内集成电路产业链最完备、综合技术水平最高的地区。

从设计、制造、封装测试,到材料、设备,芯片产业链五大关键领域,上海均有龙头企业布局。

紫光展锐、中芯国际、中微公司、上海微电子、上海新昇…… 这些普通人听来有些陌生的名字,却代表了中国芯片产业在不同领域突破封锁的希望。

以中芯国际为例,它是**国内目前唯一能够提供 14 纳米制程的晶圆代工厂,**7 纳米也在全力冲刺。

除了中芯国际,上海还有华虹半导体。

这是全球十大晶圆代工厂中,中国大陆仅有的两家上榜企业,分列世界第五和第六。

在华为海思受限后,全球十大芯片厂商中已找不到一家大陆企业。目前,最有可能替补海思的,是总部位于上海的紫光展锐。

最新数据显示,紫光展锐在手机芯片市场上排名全球第四,仅次于联发科、高通和苹果。

上海对于中国芯片产业链的影响力,还远不止于此。

在我们被卡脖子最严重的两个领域——半导体设备和材料,上海都有布局,有的甚至代表了突围的最大希望。

以光刻机为例,**上海微电子是中国唯一的光刻机巨头,**有望在 2021-2022 年交付首台国产 28 纳米工艺光刻机。

一旦顺利交付,将是国内半导体产业史上的一座丰碑。

上海在蚀刻机上的突破,更是鼓舞人心。早在 2018 年,中微公司就研制出世界首台 5 纳米等离子蚀刻机。

目前,该公司的设备已成功进入台积电等国际一流厂商的生产线。

半导体硅片是生产芯片的基础材料。2017 年之前,国内 300mm 半导体硅片几乎全部依赖进口。

信越化学、SUMCO(三菱住友)等少数日本厂商,垄断了全球一半以上市场。

直到 2018 年,沪硅产业旗下子公司上海新昇,成功实现 300mm 半导体硅片国产化,才打破了这一尴尬局面。

可以说,**今天的上海,撑起了中国芯片产业的半边天,**在某些领域,甚至是全国唯一的希望。

但就是这样一个上海,几年前,还被很多人嘲笑:错失了互联网行业。

2018 年,一篇名为《上海是怎么错失这些年的互联网机遇的?》的文章,在网上刷屏。

文章认为,上海正在为它的保守付出代价,因为错失互联网,属于这座城市的黄金时代已经谢幕。

这篇文章的观点代表了当时许多人的看法。在喧嚣的互联网时代,上海也确实显得有些寂寥。

与深圳有腾讯,杭州有阿里,北京有百度、京东等相比,上海似乎没有什么拿得出手的互联网巨头。

不过,说上海没有互联网基因,也并不客观。毕竟,这里也出过易趣、土豆等,至今还活跃着拼多多、饿了么和 B 站。

但热闹的互联网之外,上海人还有更多的深谋远虑。

若是回顾 1999 年,大多数人会联想到一个风云激荡的年代:那一年,马云创办阿里,马化腾推出了 QQ。

但其实,那一年,还发生了一件大事。

当时,原信息产业部在北京召开全国集成电路 “十五” 战略规划研讨会,准备花 5 年时间建两条 8 英寸芯片生产线。

对于在西方的技术封锁下,刚经历了 908/909 工程失败的中国半导体产业来讲,这已经是一个大胆的计划。

但来自上海的江上舟,却打破会上弥漫的悲观情绪,一举提出:“十五” 期间,上海要建十条 8 英寸芯片生产线!

整个会场一片哗然。要知道,此前 16 年,举全国之力也才搞成了一条。

江上舟看似疯狂的发言,背后代表了上海对于产业转型的决心。

1998 年,41 岁的江上舟,从海南调任上海经委副主任时,这个中国最大的工业城市正经历国企改革、百万工人大下岗的阵痛。

纺织、钢铁、石化…… 这些昔日曾给上海带来荣耀的传统行业,逐渐成为历史的包袱。上海的未来要靠高科技和新兴产业,这已成为当时决策层的共识。

江上舟的任务,就是为上海筛选出这样的战略性新兴产业,集成电路便是其一。

他通过复盘中国台湾新竹工业园的历史,并比较上海的优劣势后,向上海决策层建言:在浦东规划面积 22 平方公里、3 倍于台湾新竹工业园区的张江微电子开发区。

在江上舟看来:

华人在半导体产业的能力,世界数一数二,而上海又是最受国际资本青睐的内地城市,拥有雄厚的工业基础,只要张开怀抱,未来一定能超越中国台湾。

但半导体是个烧钱的行业,光靠上海一家,独木难支,必须寻求国家的产业支持。

于是,那年 7 月到 10 月,江上舟与海外专家马启元,频频通电话,商讨振兴中国半导体产业的大计。

在以他们为代表的一批学者、官员的努力下,2000 年 6 月,国务院发布 18 号文件,首次制定了振兴半导体产业的政策,并对相关企业实施税收优惠。

18 号文件掀起了海外华人归国、投资国内半导体产业的热潮,其中就包括张汝京

2000 年,被迫放弃世大半导体、离开台湾的张汝京,打算到对岸寻找事业的第二春。他一共物色了三座城市:香港、北京和上海。

在香港,他因土地问题而铩羽,到了北京,只有一位无法拍板的人陪同。而在上海,不但有大领导当场拍板,市长徐匡迪还亲自带他考察浦东,并放话:

“张江的地,你想要哪块都可以。”

最终,中芯国际选址在了张江。

对于彼时的上海来讲,中芯国际就是一面旗帜。在那一年前,市政府刚决定:聚焦张江,发展集成电路产业。

而中芯国际也没有辜负上海决策层的期望。

由于引入了国际资本,中芯国际成功避免了类似无锡华晶(908 工程)和上海华虹(909 工程)那样,被西方技术禁运的命运。

再加上张汝京个人的能力,**13 个月建成一座 8 英寸厂,创下当时全球最快建厂纪录。**然后是 3 座、5 座……

短短五年,中芯国际便跃居世界第三,并一举将中国半导体与世界先进水平的差距,从 3 代缩小到 1 代。

虽然中芯国际一路高歌,但在激烈的国际竞争下,上海的造芯之路,注定不会平坦。

造芯之难,不在某个产品,而在整个产业链。

中芯国际虽然解决了芯片制造问题,但从设计到封装测试,再从材料到生产设备…… 缺少哪一环都难以形成产业集群效应。

而这,从一开始,就是上海决策层考量的重点。

为了吸引更多的海外华人聚焦张江,2001 年 2 月,时任上海市副市长蒋以任和经委副主任江上舟率团访问硅谷,并在那里组织了上海信息产业座谈会。

这次活动在硅谷引起巨大反响,原先预定的 100 人会议厅,挤进了 300 多人。

会上,江上舟激情四溢,描绘上海集成电路产业发展的雄心壮志,感染了在场所有人。他们中许多人,会后不久便卖掉国外的房子,回国创业。

不仅如此,在那次会议前后,包括武平、尹志尧、朱一明在内,一大批半导体产业的华人精英,在上海市政府的努力下,落户张江。

他们先后创办了展讯、中微半导体、兆易创新等企业。

上海市政府对半导体产业的重视,是他们选择上海、选择张江的原因。在张汝京眼里,**上海决策快、效率高,**而武平看中的,是上海对于高科技的理解。

给他们留下深刻印象的,还有当地官员对于人才的渴望,以及对工作的竭尽全力。

在上海一次半导体设备展上,江上舟遇到了在美国应用材料公司担任等离子刻蚀设备负责人的尹志尧。

彼时的江上舟,由于过度操劳,不幸罹癌,但他极力想说服对方回国创业。

“看来造光刻机、等离子蚀刻机比造原子弹还复杂,外国公司用它来掐我们集成电路产业的脖子,我们能不能自己把它造出来?”

尹志尧当时已年逾六旬,有些犹豫。这时,江上舟接着说:

“我是个癌症病人,只剩下半条命,哪怕豁出这半条命,也想为国家造出这个光刻机、等离子蚀刻机来。我们一起干吧。”

最终,尹志尧被江上舟的热诚打动。

比蚀刻机更难的是光刻机,也是制造芯片的核心设备。

长期以来,这个领域一直被日本尼康、荷兰 ASML 等少数几家企业垄断。只要他们不给光刻机,我们就不能造芯片。

为了攻克这一堡垒,江上舟力主将光刻机项目列入 “十五” 国家重大专项。

这一观点最终被采纳。2002 年,在科技部和上海市政府的共同推动下,上海微电子在张江成立。

公司刚成立不久,总经理贺荣明就带着技术团队去欧洲谈合作,结果被人当 “骗子”。在德国考察时,有当地工程师一脸鄙夷:

“就算给你们全套图纸,你们也做不出来。”

顶着这样的压力,贺荣明和他的同事艰难上路。20 年后,上海微电子托起了国产高端光刻机最后的希望。

技术上的难题,可通过吸引人才来解决。但外部环境的残酷,却总教人落泪。

对于中国人想要挺进半导体产业的雄心,西方发达国家始终抱有戒心。

908/909 工程先后因为瓦森纳协定对中国的技术禁运而夭折。曾掀起海外华人回国热潮的 18 号文件,也在出台后不久,遭美国施压,最终打了折扣。

来自竞争对手的狙击,更是毫不留情。

2003 年,就在中芯国际一路高歌、筹备上市前夕,台积电以窃取商业机密为由,一纸诉状将其告上法庭。

原本高速增长的态势,由此戛然而止。中芯国际不得不一边跟对手签下和解协议,一边奋力追赶。

到了 2009 年,眼看 45 纳米制程即将投产,中国大陆将第一次追平世界先进水平时,台积电再次使出杀手锏。

那年 11 月,美国加州法院判台积电胜诉。等待中芯国际的是:创始人张汝京辞职,赔款 2 亿美元,并无条件出让 8% 的股权给台积电。

输了官司的张汝京,在与律师通电话时,失声痛哭。临危之际,抱病接管中芯国际的江上舟苦苦支撑两年后,与世长辞。

中芯国际的惨痛经历,并没能浇灭上海人死磕半导体的决心。

事实上,从聚焦张江的第一天起,上海市政府对困难就有清醒的认识,一再指示张江高科技园区要有耐心,要坐得住冷板凳。

半导体产业是个烧钱的行业,一条产线动辄上百亿。

但在 2014 年成立大基金以前,整个国家对半导体产业的投资是不够的。用当时《财新周刊》的话来讲,“每年的投入只够修 2 公里地铁”。

在某个行业还没有获得大资金青睐时,一个地方政府投入这么多,短期内还看不到回报,极有可能成为政绩上的大坑。

更要命的是,就在上海死磕半导体的这 20 年里,互联网行业兴起,全国各地蜂拥而上。

在此期间,上海经历了种种困难,遭受了种种非议,有人质问 “上海为什么出不了马云”,更有人断言 “上海已被互联网抛弃”。

在这一片喧嚣中,历届上海市领导班子始终顶住压力,按照既定规划,不断支持张江的半导体产业,累计投入数百亿元资金。

对于张江的考核,从一开始就不是产值,不是 GDP,而是人才聚集度、企业孵化度和产业链完备程度。

20 年的坚持,最终换来了完美的转身。

2018 年,从中兴、华为开始,中国公司遭到美国一轮又一轮的科技封锁。

突然间,我们发现,那些曾经让自己引以为傲的外卖、移动支付、共享单车等,其实,是在别人的地基上砌房子。

此时,当我们盘点家底,想要在芯片这个地基上寻找国产替代时,才发现上海已是举国之下的不二之选。

因为这里是国内集成电路产业综合技术水平最高、产业链最完整的地区。

当三星、台积电垄断了 7 纳米以下先进制程时,是中芯国际成功量产 14 纳米,保住了我们继续追赶的希望。

当信越化学、SUMCO 在半导体硅片上一手遮天时,是沪硅产业(上海新昇母公司)为我们撕开了一道口子。

当高端光刻机成为挡在我们面前最大的拦路虎时,又是上海微电子,肩负起了我们攻克 28 纳米光刻机的重任。

但即便如此,我们距离世界先进水平,仍有一段很漫长的路要走。

而上海也从未停下脚步。

自从 1999 年,上海实施 “聚焦张江” 战略以来,张江高科技园区的面积从 25 平方公里扩大至 220 平方公里,增长近 10 倍,名字也随之改为张江科学城

两年前的 2019 年,上海再次加码,成立临港新片区,并发布了集成电路专项规划。

未来,临港新片区一旦建成,将与张江科学城一起,形成上海半导体产业的双核驱动,并有望再次改写中国半导体产业的版图。

看着眼前这幅雄伟的蓝图,我们很难忘记,这一路走来,有多少人在背后为之付出了艰辛努力,甚至是生命。

61 岁的张汝京,从中芯国际离职后,不甘退缩,于 2014 年创办新昇半导体,为大陆半导体产业补上了硅材料的短板。

抱病接替张汝京的江上舟,为拯救中芯国际,日夜操劳,最终撒手人寰。

上海经济》为我们记录下了江上舟生命最后三天的催泪一幕:

2011 年 6 月 27 日,躺在上海瑞金医院的江上舟陷入了昏迷。长期主管上海工业的原副市长蒋以任了解他,在他耳边大喊一声 “光刻机”。

江上舟立刻清醒过来,但因喉咙插着管子无法说话,他急得左右扭动脖子。直到蒋以任再次喊道:**“集成电路装备样机很成功”,**他才安静下来。

但最终,还是带着无尽的遗憾,离开了人世。

20 年来,正是在以张汝京、江上舟为代表,一代又一代上海地方官员和企业家,以及千千万万工程师的努力下,才有了上海半导体产业的今天。

多年前,时任科技部长万钢在追忆江上舟时,曾说:“如果没有当年上海下决心搞芯片,可能我们今天的芯片进口要远远超过石油。”

如今看来,这个评价似乎仍不足以彰显上海半导体产业的重要性。

事实上,在科技战越演越烈的今天,上海几乎以一己之力,撑起了中国芯片的半边天!

知乎用户 会者定离 发表

实名反对

@王悠

在本问题回答下的答案,借此反对所有喊口号混淆视听、简化技术攻关而无深度实质分析的答案。

三点

1、机械式目光

回答中所举事例意在证明中国凭借市场政策等 “类闭关锁国” 式可以简化技术进程,丝毫没有以发展的眼光看问题。这种所谓 “文科生” 思维一定程度上的确有一定道理,确实建立在诸多事实之上,但目前的内外部环境条件已经和之前大不一样。该答主的一切结论建立在非 “军事冲突、政治博弈” 上,实际上这个条件根本不可能满足,而即使满足其后所推导出的结论也是错误的。论证如下:

目前高精尖科技的攻关已经一定意义上进入了最激烈和最艰难的层面,答主通篇论述的用市场换技术的方法边际效益已经为负。为何作此结论?之前的技术攻关多集中于中低产业链相应技术,并未严重触及美国为首西方资本势力核心利益,而现在美国对中国的打压已经说明,美国不能纵容技术进一步外流。所谓适度封闭市场的做法只能限于技术垄断低、技术水平低的局面,例如高铁的崛起。高铁技术并未垄断于哪一方,日本德国都有相应技术,故而实现了市场换技术的方法。

另外答主所论证 AI、移动互联网、移动支付的例子为无稽之谈,是另一个机械化视角的证据。例如工业机器人的 AI 算法为日本顶尖且卡脖子(另外 AI 本就是新兴产业起步阶段不存在谁领先谁),移动互联网的巨头谷歌仍然掌握着互联网领域的诸多标准,进而引起移动支付诸如微信支付宝只在华人圈流行,不知道答主靠这些例子生搬硬套想说些什么。

总而言之,微观上技术层面的攻关影响着宏观上产业链的优化更新,这才是所谓的 “改革进入深水区”。现阶段美国放弃技术意味着“科技 - 金融 - 军事” 的闭环运营彻底失败,不会容忍中国掌握核心科技。即使真如答主所说以市场相要挟,大概率陷入中等发展陷阱不能自拔。

2、混淆战略层面的决策和战术层面的决策

其回答包括在评论区所举的例子毫无逻辑,最主要的是混淆战略战术上的决策,并夹杂着不少偷换概念。例如文科生决策者有相当比例能看透事情真相,而理工科工程师只会诟病决策者。不管其言论有无证据,都可以将这种说法一批到底。论证如下:

长期来看战略上的东西确实一定程度上依赖于眼界长远的所谓文科生,这是战略制定者所考虑的问题,但是答主的逻辑有几点不妥之处。为何理工科工程师抱怨的技术问题例如 “外行指导内行” 是错误的,这是否偷换了工程师和决策者之间的矛盾产生原因的概念?为何决策者只在制定长期目标是英明的,而战术上的决策会引起执行者的不满?为何教员做了无数英明决策就能说明所谓 ceo 所谓行业领头的 “文科生” 做的决定同样英明?

总而言之,文科生与英明决定的 “卡方” 至少没有明显相关。事实上,标准 “文科生” 金庸反对制造原子弹,不知道答主这种优越感基于什么样的事实。

3、方法论上的严重问题

其在寻找光刻机芯片产业的 “破局” 方法上采用的方法论有着巨大的问题。答主非常喜欢提教员的英明神武,那是因为教员不囿于所谓 “文科生” 的教条,亲身实践实事求是,才有了科学的方法论。再如温铁军教授对 “三农” 问题的阐释也是因为其亲身实践走入基层,并不是因为读罢高头讲章之后就能高屋建瓴。

回答这个问题了解过芯片的制程吗,知道流片吗?亦或不懂这些专业知识,知道美国从限制华为芯片生产到限制 5G 射频芯片这样越来越精准的制裁吗?了解当今产业链卡脖子在什么地方吗?文科生不是善于在社会科学上做数据,调研过吗?

本人十分讨厌文科生这种脱离群众的风气,文科生需要深入大众做理论,而不是答主所说的什么社科当面的数据计算强于理科生,这简直一派胡言。现如今中国缺乏强大文科支撑的政治理论、经济理论、艺术文化法学等理论,大多数相关理论仍然未从西方体系中脱胎换骨,高高在上的 “文科生” 已经严重脱离社会实际。

最后,本人无意冒犯文科生,但对这种无效低智商答案十分愤怒。尔曹身与名俱灭,不废江河万古流!

知乎用户 狙击主力训练营长​​ 发表

乔布斯在接受硅谷历史协会时曾说过的这么一句话: “科技领域有点像是沉积岩的原理。如果你要建造一座山,那么就必须不断地为其添加一层层的沉积岩,才能让这座山变得越来越高。对于那些站在地面上的人来说,他们不可能有像 X 光那样的视力,无法看到被铺垫在下层的沉积岩。但人们将会站在这座山上,却没有地质学家对其有倾慕之心。”

知乎用户 2157 发表

我靠,明年?明年能做出来 45nm 去美化工艺,是可以期待的。

也就是,现在中国做不了 28nm 的

也做不了 14nm 的

也做不了 7nm 的

也就是说,现在中国和全球顶尖工艺,差了 4 代。

整整四代。

我们应该期待的是,10 年时间,中国做出 7nm,2035 年,中国做出 5nm。

至于明年?45nm 就是尽头。

知乎用户 匿名用户 发表

这个问题,本身就有问题,近一年以来,芯片平均涨价在 150% 左右。连光刻胶都涨了 2 倍!部分高端的 Dsp、MCU、GPU、ARM、甚至某些 DROM,都涨价 5-20 倍,制造厂商被收割得无力还手,成品芯片完全变成了卖方市场。

居然现在有人在这里谈:光刻机已经世界先进?!明年就是芯片强国了?!

光刻机目前世界排名前三的制造商 ASML、ZEISS、尼康,相关专利权近 3 万余项。是一年之内,一国之力能超越的?

我们国家是在芯片产业取得了非常大的进步,可是,可是真正的进步,是在最近的 10 年。至少是在上海交大陈进教授之后,才真正有所谓产业思维。一个国家的 10 年产业,可以超过近 15 个工业发达国家的产业总和?!

这不可能实现。

以芯片行业而言,仅仅一个比较大型的 TI 的 DSP 产品,首先是基于应用的架构设计,大概成型要 3-6 个月,在用 1-3 个月开小批量,测试、调整架构 3-6 个月,再定量推入市场,至少要 1 年时间。

我们可以生产大部分中低端的应用型芯片,比如大部分 MCU、CPU、AC-DC。但是,高端的 DSP、ARM、GPU、DROM,普通的 EDA、FPGA 难以实现,甚至光刻胶,都要全部进口!航空级精度更是我们的瓶颈,对应的产业群是汽车电子、AI 人工智能、手机 应用……

有人居然说 28 纳米的芯片已经够用了?!这意味着我们的手机要回到 12-14 年的性能。航天级精度估计要黄,高端汽车制造,高端工业机械设计,人工智能产业都要歇菜!

而这,正是产业升级的关键,是我们梦寐以求产业升级的技术支撑。

看到很多答题的人很奇怪,似乎有一些电子专业的学生,但更多的是非专业的热血青年?!都好像是拍拍胸脯,开个会议,喊个口号就能做到一样,实在是不值得和他们争论。

知乎用户 风去 发表

第一次写回答,文笔可能有些幼稚

谈一点个人的所见所感吧:

坐标中部某省唯一的 985 的微电子学院,机缘巧合来到这里的实验室实习,实习导师曾经在上海科学院负责过光刻机的研究。初到时,老师带我参观了他们的实验室,对于一个普通的本科生来说,这里的一切都是那么 “高大上”,冷场电镜,光刻机…… 很多只在网络上能看到的仪器真真切切的出现在我眼前。参观的时候,老师说这里有一条相对完整的芯片加工生产线。第一时间我并未察觉为什么老师没有提及芯片的制程,也没有注意到老师话语中的 “相对完整”。 直到老师跟我介绍实验室里的几台光刻机时说:这台光刻机是日本十几年前的产品,被一个工厂淘汰之后我们接手过来重新翻修,现在还在继续使用。

听到这个消息我是很震惊的,随即感到的是心酸和无力。在一个科研能力全国顶尖的大学里,在一个中科院直属的实验室里,还服役着十几年前别国制造的光刻机。

身为一个普通的学生,我也曾和许多人一样,为华为被美国制裁而愤懑,也想过有一天我们也能有别人望尘莫及的芯片制造技术。

但是,不得不承认的是,我们的技术和国际顶尖之间真的还有距离。这个距离可能需要一代人甚至几代人的努力去追赶,去超越。需要很多人的心血和青春才能换来迈入芯片强国大门的钥匙。

大家现在以中国乒乓球为荣,以中国航天为荣,我更希望有一天,我们能以中国芯片为荣。

在此,我也想对各位奋战在微电子行业的研究人员们和同学们说一句:你们辛苦了!

知乎用户 Edison Chen 发表

按照中国制造 2025 规划,2024 左右实现 EUV 光刻机和掌握 18 英寸晶圆技术。

知乎用户 生活的艺术 发表

记忆中小时候看电视总喜欢问大人一句话:这个人是好人还是坏人?现在长大了总是想起另外一句话:小孩子才分好坏,成年人只看利弊!

回到我们光刻机上来,只有小孩子才会看我们有没有世界领先,有没有得第一,成年人只考虑能不能用。《中国制造 2025》里边明确了 IC 产业的目标,就是 2025 年 IC 自给率要达到 70%。你说,按照小孩子分对错的思想,没干到 100%,没把敌人按地上摩擦都不叫赢。

郭嘉如果像有些人一样都去争第一,早被美丽国玩成 “苏联” 了,所以说你看这两年针对华为的 “套壳” 啊,“不爱国”啊等言论有多幼稚!

所以你让光刻机明年就达到领先世界,领先荷兰,我们才不上你的当!“我不上不上,不上你的当”!

网上关于我国半导体有个言论,说是外行对半导体乐观,内行对半导体悲观,其实这两类人根本不在一个频道。

按照教员 “农村包围城市” 的方针,我们只需要攻克能攻克的边边角角的元器件,先有一席之地,再慢慢的向中间辐射。没有技术壁垒的东西,有政策支持,有国内强大的市场支撑,有什么理由搞不出来?那种核心的东西,要实事求是的去看待,目前搞不定就是搞不定,但是搞不定也要搞,周边都搞定了,“大城市”就是一座孤城了,迟早是我们的,这就是 “论持久战” 了。

所以,《中国制造 2025》定下的目标,IC 产业国产自给率要达到 70%,是非常科学合理的。

你说,光刻机是不是世界最先进,重要吗?只要我们这几年搞定 28nm 就够了。

知乎用户 熊熊​​ 发表

作为业内专业人士,

我有一个很好很准确很振奋的答案,但知乎给的篇幅太小,实在写不下…

相信中国企业和人民就可以。

最近手头比较紧,坐等中国北方的网友…

知乎用户 301 - 大智 发表

不行,来自我的个个老师的任务,

此外我是个 211 微电子的学渣,我的回答看看就行了,这个 ic 设计还是要看清北,我们的能力差远了。

知乎用户 江浩栾 发表

不知道,毕竟第一颗原子弹爆炸以前,我们根本就不知道我们有那么多的核物理学家。就算知道,我们也不知道他们在哪里,不知道他们在干什么。

谁能保证中国的芯片产业技术就仅仅是我们现在看见的这个样子呢?

知乎用户 股海老人 发表

光刻机是芯片制造的核心设备之一,也是世界上最复杂的精密设备之一。光刻机的制造和维护需要高度的光学和电子工业基础,能够掌握这项技术的厂商寥寥无几,目前比较知名的光刻机厂商有尼康、佳能、ABM、欧泰克、上海微电子装备、SUSS 等,但是在顶级光刻机领域,却唯有 ASML 一家独大。

提到光刻机,那就不得不说光刻机市场上得霸主荷兰阿斯麦(ASML),ASML 的 EUV 光刻可量产 7nm 工艺制程芯片,且 5nm 制程工艺也已经成熟。ASML 作为全球最顶尖的光刻机制造商,目前垄断了全球超过 80% 以上的光刻机市场,其中在 7 纳米以上高端光刻机市场当中,ASML 基本上处于 100% 的垄断地位。尤其是一台 ASML 光刻机需要 5 万个零配件,大部分需要从国外进口,多数来自美国、日本、德国等科技强国。换句话说实际上 ASML 是一个光刻机集大成者,他只负责核心技术的研发和生产。 单台售价高达 1.2 亿美元的 EUV 光刻机,而且供不应求,主要被 Intel、台积电、三星等极少部分厂家所占,而我国想要订购一台却非常困难。这主要是因为 ASML 采取客户即要成为股东的策略,不但自身有极强的研发能力及装备技术、还有来自于客户的工艺技术参照、以及全球产业链的共同协作得到的顶级零部件,才有了 ASML 先进的 EUV 光刻机。国内不但受制于西方国家的科技封锁,还有面临美国随时极端的打压,即使中芯国际早已经在两年前就预定了一台 EUV 光刻机,但到现在是否能交付还是未知数。

而且前不久,荷兰光刻机巨头阿斯麦(ASML)公司在年报中披露了关于下一代 EUV 极紫光刻机的研发进程,预计 2022 年年初开始出货,2024 年实现大规模生产。新一代 EUV 光刻机 EXE:5000 系列,NA(数值孔径)为 0.55,NA 大小则代表了光刻机的精度高低,NA 数值越大,光刻机精度更高。现在他们所生产的 EUV 光刻机都是第一代产品,主要是 NXE:3400B 和改进型的 NXE:3400C,两者结构相似,物镜系统的 NA(数值孔径)为 0.33。而 ASML 的 EXE:5000 系列 EUV 光刻机主要面向 3nm 时代,这意味着新一代 EUV 光刻机分辨率相比前一代提升了 70%左右,可以进一步提升精度。
台积电和三星也已经开始积极量产 5nm 工艺芯片,3nm 工艺制程路线图也早早就开始了布局,要想让技术尽快落地,EXE:5000 系列 EUV 光刻机的研发极为重要。

目前,光刻机领域的平均水平在 14nm 工艺范畴,最先进的则是 7nm 工艺掌握在荷兰 ASML 手中,其他国家所涉及的光刻机技术还有 9nm 工艺,主要掌握在日本和德国手里。就从现阶段来看,包括华为在内的中国科技企业都已经突破了芯片设计的技术瓶颈,但是芯片制造环节依旧是最大的短板,其中,制造芯片的光刻机也成为了重中之重。不过有碍于光刻机巨头 - 荷兰 ASML 的政策限制,使得国内高科技技术企业无法购买 ASML 的高端光刻机,因此对于我国而言,掌握光刻机技术也变得迫在眉睫。

虽然最近十几年我国一直在致力于研究光刻机,但是取得的成果并不是很明显,目前我国光刻机最高技术也就上海微电子所生产的 90nm 光刻机。除此之外,目前合肥芯硕半导体公司也具备量产 200nm 光刻机的实力,无锡影幻半导体公司也具备 200nm 光刻机量产的实力。但是目前这些国产光刻机企业跟 asml、尼康等具备 28nm 以上工艺光刻机的企业相比,差距还是比较大的,尤其是跟 asml7nmEUV 的差距更大。

2018 年 11 月,由中国科学院光电技术研究所所承担的国家重大科研装备研制项目 “超分辨光刻装备研制” 通过验收,该装备采用 365nm 波长的紫外光单次成像,实现了 22 纳米的分辨率,结合双重曝光技术后,未来还有可能用于制造 10nm 级别的芯片,这为我国芯片加工提供了全新的解决途径。

去年,中国芯片再传捷报,国产光刻机拐弯超车,攻克 9nm 技术难关!根据相关媒体的报道,由我国武汉光电国家研究中心的甘棕松团队,成功研发出 9nm 工艺光刻机。此次研发成功的 9nm 光刻机技术与西方不同是,国产光刻机利用了二束激光在自研的光刻机上突破了光束衍射极限的限制,刻出了最小 9nm 线宽的线段,这是我们独有的技术,我们也将拥有自主产权。不过,现阶段 9nm 光刻机技术还仅限于试验阶段,但是作为国人的我们有理由相信,在不久的将来,国产光刻机必然会走出实验室,实现芯片量产。

现如今社会发展越来越离不开光刻机,没有先进的光刻机,我们就做不出很先进的芯片,那么我们的国产手机以后仍旧会被别人卡脖子,外商说断供就断供。我们就拿麒麟芯片和华为 5G 为例,如果我们国家没有像麒麟这样先进的芯片设计技术,高通指不定多么猖狂,仍旧会是漫天要价。

而如果没有华为投入那么多资金研发 5G 技术,我们国家的 5G 仍要落后于欧洲国家,永远被别人卡脖子。2G、3G、4G 我们都受制于人,5G 总算出了一口气。这就像光刻机一个样,我们不能总是看别人脸色,只有自己有了,才有底气。

更多干货不妨关注本知乎号,个股涨停预测、实盘操作看主页。

知乎用户 王悠 发表

明年是肯定达不到的,但是最终会迎来光明前途。光刻机不仅仅是一个技术问题,还有市场和生态的问题。

如果抛掉军事、政治博弈和其他复杂元素,单看光刻机市场的话,中国想把这块做出来其实挺简单的。

并不是技术好的就能赢,话语权掌握在标准和市场持有方手里。所以中美博弈到后面,如果中国能够承受其他方面的压力,真的把国内市场封闭起来不允许美国技术流派进入,那么国产的光刻机就赢了。

没有别的原因,就是因为中国坐拥全球第一市场。想进来,就用我的标准,或者卖给我技术。否则就别进来。

简单推演一下。

中国政府只允许在中国市场上,使用中国掌握技术的光刻机、及其衍生出来的芯片产品。

那么,所有使用台积电、三星、Intel、gf… 代工生产的芯片产品,都不允许进入中国市场。

↑离开中国市场,仅靠他们手里那点西方市场,这些巨头的研发成本,将极速升高,技术迭代极大放缓,甚至有很多厂商要倒闭。

与之相反,中国市场上这么大的芯片需求,加上国家的决心,输血补贴市场消费者和生产研发者,一定会把中国系的光刻机、芯片生产代工厂、芯片设计厂、芯片封装厂… 养得肥肥的。

随着生产、销售、资金回流… 这个良性循环逐渐形成壮大,技术的迭代也会逐步加快,最终超过西方。

不要说我痴人说梦,看看 AI、移动支付、移动互联网方面… 的产品和市场,中国就是这么超越对手的。其实一开始核心技术都在美国手里,但是我们拥有最大的市场,我们的应用研发者,其研发生产成本能够被巨大的市场极大地摊薄,逐渐进入良性循环,就把对手给超越了。

更何况中国的工业能力已经超过所有西方对手的总和,虽然顶部技术水平没有办法和西方的集合体相比,但是我们各方面的均衡性最好。

问题就是这个背后的代价了。封闭 - 妥协,两者之间,我们要卡在哪一个位置上,才会对民族复兴最有利,真的需要决策者好好的思量,精心的操作。

治大国若烹小鲜,我们现在正迎来历史最好的崛起机遇,不能意气用事,当然也不能当软蛋。

知乎用户 haha kim 发表

明年希望不大。

但在,五年之内完成 28nm“去美化” 是很有可能的。

到时候,中国就可以依靠 “白菜价” 吃下半导体行业全部的中低端市场。

这部分市场才是真正的大头。

全球手机一年的销量也不过才十几亿部,家电、智能产品、汽车等领域的出货量都是过百亿的。

知乎用户 芯动的信号​ 发表

说实话,光刻机已经不属于芯片行业,而属于装备制造业的范畴。

光刻机从名字就可以看出来是要控制光在硅片上雕刻出电路图来,可想而知难度之大,他已经属于超高精密仪器得类别了,在进行光刻的时候,要保持空间的绝对纯净不允许有任何的杂质,另外,能光照出 5nm 规格的芯片,不一定就代表你能量产,因为其实芯片光刻是有一个成品率的,如果技术不够成熟,成品率就会大大降低,无形之中就加大了制造成本,所以说芯片制造是一个非常烧钱的行业。

西方国家耗费很多年,用大量的工程师才研发出来光刻机,这显然是一个非常漫长的研发周期,需要源源不断的投入,不可能一蹴而就,就算你拥有 3nm 甚至是 2nm 的技术,也不见得你就能制造出来,全世界可以批量流片的 5nm 芯片的代工厂屈指可数,可以流片就意味着成品率能够达到要求,这是非常困难的。

别说明年,就是再过五年可能中国的光刻机技术还不会领先西方。我不是长他人志气灭自己威风,这确实是事实,从事芯片行业四年,甚至芯片研发不易,芯片制造更加不易,跟西方的差距还有很大,未来还得靠源源不断的年轻人投入到行业中,一起努力,突破西方的技术封锁!

知乎用户 蔡小帅 发表

EUV 光刻机当然很难,但有些回答里说十年内都做不出来就是扯淡。至于追上阿斯麦,就看它能不能突破到下一个极限,正如文章所说,EUV 来得比原计划晚了 20 年,预算高了 10 倍。下一次革命多快到来,他们还愿不愿意支付下一次光刻技术革命的费用,是西方面临的考验

知乎用户 福源​ 发表

客观的话,中国光刻机明年不可能达到世界较为先进的水平。

原因是这样的:

  • 高端光刻机不是一个国家的心血结晶,它是世界人民的心血结晶,它涉及的学科都是近代科学理论能够达到的巅峰,它包含了、数学、光学、流体力学、机械、精密仪器、高分子物理及化学、自动化、图像识别等众多学科的精华。
  • 毫不夸张的说,荷兰之所能制造第五代光刻机,是整个欧洲及美国的众多高科技公司的技术结晶,所有的技术、设备都是最好的。

  • 中国想一国之力与欧洲及美国齐头并进,目前来说是很有难度的。
  • 如果仅靠中国自己的能力要创造光刻机,并且达到世界的先进水平的话,至少需要五六年的时间。就象中国从 1959 年决定独立自主研制原子弹到 1964 年第一颗原子弹爆炸成功仅仅用了 5 年时间, 而后, 只用了两年零八个月的时间又成功爆炸了第一颗氢弹。如果中国聚全国的所有人的智慧来研制光刻机的话,最快的时间也需要二三年的时间。但客观的话,能不能聚焦这么多人的力量,还需要国家和政府的力量来统一指挥,统一实力来研制了。
  • 就象中国笔尖的研制一样。小小圆珠笔,吐墨书写,行云流水。笔尖看似简单,技术却很难。太钢集团经过五年数不清的失败,在电子显微镜下,太钢集团终于看到了 “添加剂” 分布均匀的笔尖钢,试验在 2016 年 9 月取得成功。大规模炼钢十多次后,第一批切削性好的钢材终于出炉了。这批直径 2.3 毫米的不锈钢钢丝,可以骄傲的写上了“中国制造” 的标志。

知乎用户 退而结网 发表

所有从纯技术层面客观唱衰的人,就是没吃懂四个字:“国家战略”。

芯片这事,从它在中国变成政治问题的那一天起,就注定了它一定会且只能是一个时间问题。

正合奇胜,都用了几千年的老办法了。

领导者会想不到两条腿走路么?一条腿踏踏实实搞研发,一条腿偷偷摸摸搞 XX。

那些突飞猛进,平地惊雷的军工技术,我国科研人员自然功不可没。但在一些看不到的地方,一定存在着很多隐秘英雄和 “友好人士”。可能某某研究所算了几年都算不出来的东西,在全所人员绝望的天天去知乎上抱怨太难的时候,上级领导突然就送来答案了呢?

所以,咱普通百姓如果想看懂国家解决某个问题,一定是站在国家的角度,而不是实验室的角度。

总而言之一句话:要相信党,相信国家。

偶然看到金政委的观点:

金政委的个人影响和信息渠道还有什么不能相信呢?

知乎用户 临时一用 发表

90 纳米工艺完全自主成熟估计要 10 年以上。

我歪个楼,我第一台车是 09 款新奥拓,用的是大部分人不屑一顾的三缸发动机,夏天开空调后待机时有明显的抖动。即便如此,现在完全自主生产一台同样结构,同样性能,同样耐久度的发动机,我们都做不到,是不是不可思议。

我从事基础科研的仪器行业,从称量,离心,色谱,光学,各种测试领域,我们几乎都做不到完全自主的做到优秀,我说的是不是事实只有各个行业老师们知道。

诚然,家国情怀没有错,只要我们每个人在自己的领域做到优秀,才有后面的水到渠成。

对于提问者,我想鼓励你,你什么时候买的起市中心的 300 平米大平层,咱们的光刻机就有希望了。

知乎用户 沫雪卿尘 发表

光刻机是芯片制造的核心设备之一,也是世界上最复杂的精密设备之一。光刻机的制造和维护需要高度的光学和电子工业基础,能够掌握这项技术的厂商寥寥无几,目前比较知名的光刻机厂商有尼康、佳能、ABM、欧泰克、上海微电子装备、SUSS 等,但是在顶级光刻机领域,却唯有 ASML 一家独大。

提到光刻机,那就不得不说光刻机市场上得霸主荷兰阿斯麦(ASML),ASML 的 EUV 光刻可量产 7nm 工艺制程芯片,且 5nm 制程工艺也已经成熟。ASML 作为全球最顶尖的光刻机制造商,目前垄断了全球超过 80% 以上的光刻机市场,其中在 7 纳米以上高端光刻机市场当中,ASML 基本上处于 100% 的垄断地位。尤其是一台 ASML 光刻机需要 5 万个零配件,大部分需要从国外进口,多数来自美国、日本、德国等科技强国。换句话说实际上 ASML 是一个光刻机集大成者,他只负责核心技术的研发和生产。 单台售价高达 1.2 亿美元的 EUV 光刻机,而且供不应求,主要被 Intel、台积电、三星等极少部分厂家所占,而我国想要订购一台却非常困难。这主要是因为 ASML 采取客户即要成为股东的策略,不但自身有极强的研发能力及装备技术、还有来自于客户的工艺技术参照、以及全球产业链的共同协作得到的顶级零部件,才有了 ASML 先进的 EUV 光刻机。国内不但受制于西方国家的科技封锁,还有面临美国随时极端的打压,即使中芯国际早已经在两年前就预定了一台 EUV 光刻机,但到现在是否能交付还是未知数。

而且前不久,荷兰光刻机巨头阿斯麦(ASML)公司在年报中披露了关于下一代 EUV 极紫光刻机的研发进程,预计 2022 年年初开始出货,2024 年实现大规模生产。新一代 EUV 光刻机 EXE:5000 系列,NA(数值孔径)为 0.55,NA 大小则代表了光刻机的精度高低,NA 数值越大,光刻机精度更高。现在他们所生产的 EUV 光刻机都是第一代产品,主要是 NXE:3400B 和改进型的 NXE:3400C,两者结构相似,物镜系统的 NA(数值孔径)为 0.33。而 ASML 的 EXE:5000 系列 EUV 光刻机主要面向 3nm 时代,这意味着新一代 EUV 光刻机分辨率相比前一代提升了 70%左右,可以进一步提升精度。

沫雪卿尘:中国股民炒股的心路历程,太过形象了

台积电和三星也已经开始积极量产 5nm 工艺芯片,3nm 工艺制程路线图也早早就开始了布局,要想让技术尽快落地,EXE:5000 系列 EUV 光刻机的研发极为重要。

目前,光刻机领域的平均水平在 14nm 工艺范畴,最先进的则是 7nm 工艺掌握在荷兰 ASML 手中,其他国家所涉及的光刻机技术还有 9nm 工艺,主要掌握在日本和德国手里。就从现阶段来看,包括华为在内的中国科技企业都已经突破了芯片设计的技术瓶颈,但是芯片制造环节依旧是最大的短板,其中,制造芯片的光刻机也成为了重中之重。不过有碍于光刻机巨头 - 荷兰 ASML 的政策限制,使得国内高科技技术企业无法购买 ASML 的高端光刻机,因此对于我国而言,掌握光刻机技术也变得迫在眉睫。

虽然最近十几年我国一直在致力于研究光刻机,但是取得的成果并不是很明显,目前我国光刻机最高技术也就上海微电子所生产的 90nm 光刻机。除此之外,目前合肥芯硕半导体公司也具备量产 200nm 光刻机的实力,无锡影幻半导体公司也具备 200nm 光刻机量产的实力。但是目前这些国产光刻机企业跟 asml、尼康等具备 28nm 以上工艺光刻机的企业相比,差距还是比较大的,尤其是跟 asml7nmEUV 的差距更大。

2018 年 11 月,由中国科学院光电技术研究所所承担的国家重大科研装备研制项目 “超分辨光刻装备研制” 通过验收,该装备采用 365nm 波长的紫外光单次成像,实现了 22 纳米的分辨率,结合双重曝光技术后,未来还有可能用于制造 10nm 级别的芯片,这为我国芯片加工提供了全新的解决途径。

去年,中国芯片再传捷报,国产光刻机拐弯超车,攻克 9nm 技术难关!根据相关媒体的报道,由我国武汉光电国家研究中心的甘棕松团队,成功研发出 9nm 工艺光刻机。此次研发成功的 9nm 光刻机技术与西方不同是,国产光刻机利用了二束激光在自研的光刻机上突破了光束衍射极限的限制,刻出了最小 9nm 线宽的线段,这是我们独有的技术,我们也将拥有自主产权。不过,现阶段 9nm 光刻机技术还仅限于试验阶段,但是作为国人的我们有理由相信,在不久的将来,国产光刻机必然会走出实验室,实现芯片量产。

“战法”

现如今社会发展越来越离不开光刻机,没有先进的光刻机,我们就做不出很先进的芯片,那么我们的国产手机以后仍旧会被别人卡脖子,外商说断供就断供。我们就拿麒麟芯片和华为 5G 为例,如果我们国家没有像麒麟这样先进的芯片设计技术,高通指不定多么猖狂,仍旧会是漫天要价。

而如果没有华为投入那么多资金研发 5G 技术,我们国家的 5G 仍要落后于欧洲国家,永远被别人卡脖子。2G、3G、4G 我们都受制于人,5G 总算出了一口气。这就像光刻机一个样,我们不能总是看别人脸色,只有自己有了,才有底气

知乎用户 枕水 发表

简单查查我国公开发布的十四五计划就知道了,写的是 2030 年。但是没提几纳米,保守估计就是 2030 年以前攻克 28 纳米。

知乎用户 匿名用户 发表

按照 02 规划,22 年应该是 28nm 交付验收,但没有任何明确的文件说明会量产。试产,量产大概需要到 28 年左右(5 年左右去建设一条实验性质的产线,子系统迭代),导入商业生产甚至可能要到 30 年,所以 28nm 芯片的试产时间节点最早也应该是 2030 年左右。
冷静的考虑,30 年如果可以试产,我们应该会在 35 年,36 年左右突破到 7nm,但是 7nm 芯片大规模生产到盈利最少需要到 41 年左右。7nm 后突破到 3nm,倒是可能会很顺利,但是没有意义了,因为理论上我们过了 14nm 的时候,就不会被卡脖子了。

不要混淆光刻机和芯片的 nm 概念,有 28nm 的光刻机后,你可以着手去提升良率,可以去突破工艺,光刻机我是有信心的,好多人可能不知道,即使我国基础科学较为薄弱,但是在半导体领域自从 90 年代全民恐惧症后,我国的追随研究一直没有停止,按节点生产出来问题不大。

但是后续提升良率,生产和工艺追赶,可能是个大问题,没有 10 年很难追。

所以悲观的想,就是光刻机的制造难度可能占芯片生产的 10%,其他的地方才见功夫
问题更多的应该是卡在人才上,我们乐观的算,19 年开始大规模培养,在老一辈半导体人荣退后,在 30 年这个节点能有足够的人接棒子就算很幸运了,这不是学校能教出来的,是项目落地,靠烧钱才能培养出来的,一个芯片领域的工程师,没有跟过 3-4 个项目落地,没有参与过研发改进,他是抗不了大梁的,这种机会,太少了,而且导入是有周期的,你跟 3-4 个项目到运行到提升良率怎么也得 15 年吧?就中芯培养的那点人,范围还那么窄,根本不够。
所以我的预计是 41 年,因为刚好能培养出能抗大梁的一代工程师。
所以,不要过于乐观,光刻机只是被卡脖子,我国半导体的核心困境压根就不是光刻机。

adml 就算把光刻机卖给你,你 3 年内能形成产能么?不可能的。

知乎用户 热那亚的咕咕鸟 发表

现在吹得多牛逼,到时喷的就有多凶

知乎用户 茅台 2000 不算高 发表

光刻机只是关键部位,关键是整个芯片体系。

光刻机好比芯片里的核弹,朝伊两国搞出核弹了。但是整个体系没有建立起来…… 比如核潜艇、核轰炸机、核导弹。

这个体系,如果说追平阿斯麦、台积电大约十年,悲观点就是十五到二十年。

很多人太过自信了……

连芯片起码的工艺都没搞明白。

很多人张嘴就来 3 年 5 年,说他在做梦,他就来一句不准跪。我持有科创板基金、科创 50 和阿斯麦英伟达 amd 股票,都没你清楚?科创板刚上市我就买了不少科创基金。———在中国搞出和台积电阿斯麦差不多的水平的芯片一两年前,阿斯麦市盈率会降到 20。台积电的市盈率会降到 5 到 10 左右。

甚至可能更低。

核弹当年用了六年… 是因为当初的人才太牛逼了。

知乎用户 hyddx 发表

本来不想写回答,但实在看不下去了。首先直接回答,不可以。更详细的说,撑死到达了半导体装备自主化的一个节点而已,后面的路漫漫长。尼康?尼康再废柴,65nm 的机器一堆 fab 厂用着。后续的设备还在研发,只是性能更不上 amsl。SMEE 什么水平?90nm 的机器 2016 年才首台交付,客户大不敢说,多半不是代工厂。作为对比,看看做刻蚀机的中微电子的新闻稿就可以了。

说完结论再来补证明。首先,什么叫装备可以达到较为先进水平,我觉得首先要能用。什么是能用?机台无故障时间、光刻精度及相关的配套软件能够达到工业生产的需求,最简单的判断依据就是有没有进入代工厂的产线。其次,设备下线和进入产线有多远的距离。我的回答是近在眼前远在天边。首台下线,相关的测试工作,调试工作,要做多久?没人知道。满足产线要求后进产线联合调试,完成目标工艺的相关数据又要多久?也没人知道。因此所谓打到较为先进水平要什么时候?我不清楚,但肯定不是 2022 年。

这里在科普一个小知识,光刻机的基本架构不是按工艺分的。SMEE 的 90nm 机器叫步进扫描光刻机。这种机器一直干到了 65nm。期间都是小修小补,整体结构没有大改。到了 65 以下,由于波长原因,必须使用浸润式。这是 ASML 崛起的节点。尼康在这个节点开始掉队。浸润式可以用到 28nm。再往下浸润也不够了,需要使用多重曝光制造更窄的线条,也就 DUV。到了台积电的 7nm,已经是 4 重曝光了。再之后就是媒体说了无数遍的 EUV。那么同一个架构下,除了工作台定位精度之外,什么决定了最后的线宽呢?工艺。中芯国际拿着更新的 ASML 机台才刚刚攻克 14nm,这就它与台积电工艺上的巨大差距。当然这是半导体制造领域的话题,就不多说了。就目前的新闻看,SMEE 这台将要下线的机器是浸润式的,可能支持 DUV。起点不错,但何时落地,还是问号。这方面 SMEE 实在是没啥好名声。90nm 机器 2007 年就宣布研制成功,2016 年才首台交付。很激动的答主们不如想象这 9 年 SMEE 在干嘛。

知乎用户 蔡桦​ 发表

我只给说一个事,985 大学光电工程的硕士去上海微电子 (就是承担光刻机研究任务的) 年薪是 23 万。

我不知道你们怎么想。

还有上海微电子十年总科技研发投入是六亿元。

还有所谓的 90nm 光刻机其实目前一台进入生产线的都没有。 目前上海微电子的光刻机有进入封装的,但是最核心的前道光刻是 0。不管多少 nm,一台都没有。

知乎用户 Chris 发表

光刻机等高科技,我们为什么如此被动,讲两个故事,你就明白了。

  1. 晚清虎门销烟,清政府不想买鸦片却不得不买,为什么?
  2. 去年疫情,美国缺口罩缺防护服,从中国这里买不到,但可以从盟友哪里 “搞过来”,为什么?

弄懂了这两个问题,就知道了买卖其实并不是自由的,所以光刻机有两个解决方法。

  • 一是自己造出来。
  • 二是 “买”。买卖本质是交换,钱只是一般等价物。我们现在用钱买人家不卖,我们用 “其他东西”“买” 回来。

先说说第一个方法,“自己造”。

这是我们首先想到的解决方法,改革开放之后,我们凭借 “自己造” 一路走可过来。面对与世界的差距,我们埋头苦干了四十多年,我们已经做的很好了,现在中国制造业 GDP 是美日德之和,现在就差高科技了。有了这些基础,我们可以考虑用尽一切办法“” 东西了。

现在说说 “” 的事情,正如我前面所说,中国现在用钱买美国根本不卖。那么问题来了,美国凭什么不 “”?或者再说露骨一点,美国凭什么不卖给我们。

显然,人家有军事实力,人家有十艘核动力航母满世界跑,这也是人家能 “搞到” 口罩的资本。

政委金灿荣讲过,美国霸权有四条支柱 “军事”“科技”“金融”“文化”。军事是最直接的体现,只要 PLAN 在军事上做到与美国五五开,我们就可以 “买” 到去美化的高科技产品,如果我们做到美对我七成,那我们就可以买到美国的高科技产品。

埋头苦干自己造,在任何时候都是一条正确的道路。但随着我们海军大力下饺子,总有一天我们可以多一个选择,那就是 “买买买”

知乎用户 走地鸡​ 发表

不能。

而且我也不知道为什么还要发展芯片。和增加芯片供应相比,国家显然选择了禁止打游戏和挖矿,减少芯片需求的道路,那么事实上就不需要造芯片了,科学研究需求只是打游戏和挖矿的零头,而军事需求是零头的零头,因此回收废旧电子产品就能满足未来十年的一切需求。

知乎用户 Allen Shen 发表

ASML 总裁告诉你,中国光刻机赶上来,差不多要 13 年。

未来可期,但绝对不是明年,中国加油。

十三年后,期待中国主导芯片市场。

知乎用户 ssagg 发表

不太关注中国。

话说中芯不是有 duv 光刻机了吗?人家 intel 的 10nm esf 工艺 (就是即将开卖的 12 代) 就是用 duv+saqp 技术做的,中芯可走这条路

知乎用户 1174358026 发表

作为一个老军迷,不懂光刻机的问题,但是从另一个角度来看,十几年前谁能想到中国能诞 055、歼 20、东风 17、003、075。、华为(海思)、京东方、大疆、比亚迪等等这些装备和企业,当时舆论谈到类似的东西都是五十年才能赶上,可这才多少年。而这些东西的技术难度放到十几年前绝对比如今光刻机的差距小不了。

所以,从产业角度来说,国家舍得投钱,注重培养人才,四五年之内应该可以有够用的产品,足够好的产品(就像高通 865 和麒麟 990)也最多不到十年。高赞里面行内人(存疑)说的可能有他的道理,可是仅就科技攻关来说,网络上的意见领袖没几次说准的,另外,有些公知被逼的现在就靠光刻机来恰饭了。

知乎用户 仰望星空 发表

6 月 9 日更新:

鉴于评论区好多所谓的 “专业人士” 对我预测非常不满,我补充一下说明 。

我不是专业人士,但我也没有试图从技术上分析啊。我是从国家战略的角度分析这个行业的发展趋势,在这个角度上来说,专业技术人员未必更有优势吧。因为不是技术分析,所以这个回答有点抖机灵的成分。但是,抖机灵只是调剂,您主要看的是趋势预测啊。

我说几年后尼康会死,SMEE 会活,默认的前提是美国持续对中国芯片行业进行制裁。从目前看,美国对中国的打压是长期的、全方位的。川普下台恐怕也不能改变这一趋势。那么光刻机做为一个重要的战略产品,势必要发展且必须成功的,我管它尼康技术怎样呢(其实也不怎么样),没了市场,必死。

但是,万一美国开窍了,和中国哥俩好了呢,那 SMEE 是有可能无疾而终的。毕竟从经济角度来讲,已经有 ASML 这个更好的选择的情况下,花大投资生产光刻机是亏本生意。

虽然美国取消所谓制裁并取得中国的绝对信任可能性非常低,但万一呢。不过,那时对中国就是天大的利好了,光刻机算个 PI 啊。


原回答:

芯片强国与光刻机并没有必然联系,美国是芯片超级大国,也没有光刻机呀。

先说结论,在可以预见的将来,上海 SMEE 都是世界上最落后的光刻机生产商。而且 ASML 也好不到哪儿去,倒数第二。真是一对难兄难弟!

这个行业的体量,长期来看养活不了第三家公司。如果全球化合作愉快,甚至一家公司就够了。

光刻机的年总市场容量大约在不到两百亿美元,ASML 公司 2018 年营收 109 亿欧元 (已经包括了产品和服务),占了一大半的份额。

佳能和尼康加起来也就几十亿美元,在这个高技术投入、客户群体又十分集中的行业,已经很难支持技术更新了,如果上海微电子携国家意志强势进入,则佳能尼康必死无疑。

美、韩、台的企业肯定用入了股的 ASML 的,大陆的企业受制裁只能用上海 SMEE 的,日本的半导体企业几乎全军覆没,佳能尼康是不可能找到足够活下去的客户的。

所以问题来了,几年后,全世界只有两家光刻机供应商,ASML 因为技术和专利的长期积累当然是领先的,那么作为行业第二的 SMEE,在没有全面追上 ASML 之前,当然都是世界上最落后的光刻机生产商啦。

知乎用户 我很帅​ 发表

呵呵呵呵呵呵呵

作为曾经在芯片行业工作过的人士来讲讲

除了光刻机

高端 ATE,圆片台都是空白

甚至连高端示波器、信号发生器都是进口的

生产线用的万用表都是 hp 的 6 位半

这还仅仅是测试这个环节

我们还有很多路要走

不要狂妄自大

但也不能妄自菲薄

知乎用户 LiFe wood 发表

首先,明年我国光刻机不可能达到世界较为先进的水平。其次,能否成为芯片强国和光刻机是不是世界先进水平关系不大:荷兰的 ASML 在光刻机领域一家独大,但是没人敢说比利时和美国不是芯片强国。

知乎用户 夜空不寂寞 发表

我们需要实事求是的国情教育。全国人民应该认清自己在社会历史、在全球中的位置。摒弃那些虚骄浮夸、华而不实的自我麻醉与蒙蔽。

国人真是一天不吹牛就会死。这不,刚刚允许和鼓励摆摊,媒体就竞相报道:

江西南昌丁美生,地摊大排档 每天至少收入 3 万元(央视 5.31);

四川成都 90 后女子傅傅,晚上摆地摊日卖 4 千,买奥迪奖励自己(北青);

山东济南小伙子张永刚,每天摆地摊的收入高达 4 万元(央视)……

中国这么大,偶尔、个别的极端状况不排除会有,但地摊经济,终归只是普通老百姓餐风饮露、勉强养家糊口的一条自救门路而已。

日本尼康在这个行业沉浸了多少年?我们起步了多少年?另外即便我们已经有了倚天屠龙刀,那也只是工具,武功还得要行全方面行了才能发挥倚天屠龙刀的作用。中国制造牛逼了这么多年,不是哪一条生产线牛逼而是整个行业一体化,规模化,产业化才牛逼。芯片强国不只是有光刻机就好了,就行了。

踏实一些,好好做事,从小事做起,不吹牛,不渲染,不怕落后就怕盲目乐观。

知乎用户 zado1991 发表

中国的芯片制造大部分靠吹。

中国制造的确牛逼,发展飞速,但走的是低端制造业转型。

对于高精尖产品却少专业的人才储备,这块短板不是几年可以补足的。

还有就是芯片是个全产业链集成的产物,你造一块芯片需要 abcd 额五个加工步骤那就要五个厂,这五个厂的设备又各自需要 efghj 等各自的零件加工厂,逐级下去。全自主的完善这条全产业链几乎已经是不可能的任务了,更别提最终产品成本的竞争力了。

还有就是芯片设计应用软件有个问题库,类似几十年间的 bug 数据和处理方案,一旦国外禁止共享那芯片最终应用上的问题将多如牛毛。

知乎用户 林野 发表

慢慢搞吧其实芯片对我们的影响根本不是什么大事,工业,军事,航天,乃至很多民用设备都在和单片机较劲呢。什么你说手机电脑?那玩意对我们老百姓来说不就是和猪肉一样吗?50 块一斤猪肉的日子确实很不快,但是也不是什么让人活不下去的事情。

所以未来芯片的发展主要还是靠各个企业去主导 zf 最多提供一些助力,而在市场经济下想靠几个企业来实现技术的弯道超车是很难的。对于企业来说唯一的好消息就是芯片制程快要达到物理极限了,所以不管他们快还是不快,甩开我们远还是不远,都很快就要慢下来甚至停下了。

知乎用户 南风吹梦 发表

光刻机是芯片制造的核心设备之一,也是世界上最复杂的精密设备之一。光刻机的制造和维护需要高度的光学和电子工业基础,能够掌握这项技术的厂商寥寥无几,目前比较知名的光刻机厂商有尼康、佳能、ABM、欧泰克、上海微电子装备、SUSS 等,但是在顶级光刻机领域,却唯有 ASML 一家独大。

提到光刻机,那就不得不说光刻机市场上得霸主荷兰阿斯麦(ASML),ASML 的 EUV 光刻可量产 7nm 工艺制程芯片,且 5nm 制程工艺也已经成熟。ASML 作为全球最顶尖的光刻机制造商,目前垄断了全球超过 80% 以上的光刻机市场,其中在 7 纳米以上高端光刻机市场当中,ASML 基本上处于 100% 的垄断地位。尤其是一台 ASML 光刻机需要 5 万个零配件,大部分需要从国外进口,多数来自美国、日本、德国等科技强国。换句话说实际上 ASML 是一个光刻机集大成者,他只负责核心技术的研发和生产。 单台售价高达 1.2 亿美元的 EUV 光刻机,而且供不应求,主要被 Intel、台积电、三星等极少部分厂家所占,而我国想要订购一台却非常困难。这主要是因为 ASML 采取客户即要成为股东的策略,不但自身有极强的研发能力及装备技术、还有来自于客户的工艺技术参照、以及全球产业链的共同协作得到的顶级零部件,才有了 ASML 先进的 EUV 光刻机。国内不但受制于西方国家的科技封锁,还有面临美国随时极端的打压,即使中芯国际早已经在两年前就预定了一台 EUV 光刻机,但到现在是否能交付还是未知数。

而且前不久,荷兰光刻机巨头阿斯麦(ASML)公司在年报中披露了关于下一代 EUV 极紫光刻机的研发进程,预计 2022 年年初开始出货,2024 年实现大规模生产。新一代 EUV 光刻机 EXE:5000 系列,NA(数值孔径)为 0.55,NA 大小则代表了光刻机的精度高低,NA 数值越大,光刻机精度更高。现在他们所生产的 EUV 光刻机都是第一代产品,主要是 NXE:3400B 和改进型的 NXE:3400C,两者结构相似,物镜系统的 NA(数值孔径)为 0.33。而 ASML 的 EXE:5000 系列 EUV 光刻机主要面向 3nm 时代,这意味着新一代 EUV 光刻机分辨率相比前一代提升了 70%左右,可以进一步提升精度。
台积电和三星也已经开始积极量产 5nm 工艺芯片,3nm 工艺制程路线图也早早就开始了布局,要想让技术尽快落地,EXE:5000 系列 EUV 光刻机的研发极为重要。

目前,光刻机领域的平均水平在 14nm 工艺范畴,最先进的则是 7nm 工艺掌握在荷兰 ASML 手中,其他国家所涉及的光刻机技术还有 9nm 工艺,主要掌握在日本和德国手里。就从现阶段来看,包括华为在内的中国科技企业都已经突破了芯片设计的技术瓶颈,但是芯片制造环节依旧是最大的短板,其中,制造芯片的光刻机也成为了重中之重。不过有碍于光刻机巨头 - 荷兰 ASML 的政策限制,使得国内高科技技术企业无法购买 ASML 的高端光刻机,因此对于我国而言,掌握光刻机技术也变得迫在眉睫。

虽然最近十几年我国一直在致力于研究光刻机,但是取得的成果并不是很明显,目前我国光刻机最高技术也就上海微电子所生产的 90nm 光刻机。除此之外,目前合肥芯硕半导体公司也具备量产 200nm 光刻机的实力,无锡影幻半导体公司也具备 200nm 光刻机量产的实力。但是目前这些国产光刻机企业跟 asml、尼康等具备 28nm 以上工艺光刻机的企业相比,差距还是比较大的,尤其是跟 asml7nmEUV 的差距更大。

2018 年 11 月,由中国科学院光电技术研究所所承担的国家重大科研装备研制项目 “超分辨光刻装备研制” 通过验收,该装备采用 365nm 波长的紫外光单次成像,实现了 22 纳米的分辨率,结合双重曝光技术后,未来还有可能用于制造 10nm 级别的芯片,这为我国芯片加工提供了全新的解决途径。

去年,中国芯片再传捷报,国产光刻机拐弯超车,攻克 9nm 技术难关!根据相关媒体的报道,由我国武汉光电国家研究中心的甘棕松团队,成功研发出 9nm 工艺光刻机。此次研发成功的 9nm 光刻机技术与西方不同是,国产光刻机利用了二束激光在自研的光刻机上突破了光束衍射极限的限制,刻出了最小 9nm 线宽的线段,这是我们独有的技术,我们也将拥有自主产权。不过,现阶段 9nm 光刻机技术还仅限于试验阶段,但是作为国人的我们有理由相信,在不久的将来,国产光刻机必然会走出实验室,实现芯片量产。

现如今社会发展越来越离不开光刻机,没有先进的光刻机,我们就做不出很先进的芯片,那么我们的国产手机以后仍旧会被别人卡脖子,外商说断供就断供。我们就拿麒麟芯片和华为 5G 为例,如果我们国家没有像麒麟这样先进的芯片设计技术,高通指不定多么猖狂,仍旧会是漫天要价。

而如果没有华为投入那么多资金研发 5G 技术,我们国家的 5G 仍要落后于欧洲国家,永远被别人卡脖子。2G、3G、4G 我们都受制于人,5G 总算出了一口气。这就像光刻机一个样,我们不能总是看别人脸色,只有自己有了,才有底气。

最后,如果你不知道买什么,南风每天早上在圈子分享的的盘前操作策略。方向、标的、操作策略全都会旗帜鲜明的分享给大家 精准把握主力资金动向,了解更多股市资讯、免费领取指、标操盘心法和交易系统!大家可以来南风圈子,看龙头解析大盘,把握盘中高点低点,同时可以免费咨询相关个股!!

知乎用户 zjulixin​ 发表

题主是哪里听来的明年光刻机就能达到世界较为先进?哪里就迈入芯片强国了?首先半导体制程设备多的估计题主难以想象,还有就是 EDA 工具你有么?现在的很多自媒体为了流量,简直不择手段,不懂也要写,不懂也要编,看的人能笑死,气死。

知乎用户 随手一拍 发表

关键先了解下

光刻机几家能做?

芯片全产业链自产自销几个国家有?

咱们单一国家一对一比较,其实好像也没落后太多

现在要求,你和西方资本集群比各项指标都更好… 有点难

知乎用户 知乎用户 3xbz8B 发表

先把韩国日本台湾超过了再说吧。

知乎用户 包工头老 W 发表

问这个问题的人,如果是个大学生,那我真为中国的大学生质量担心。

还没弄清楚光刻机是个什么东西,光刻机是属于机床的一种。先理解什么东西叫做机床,再来提问。机床集成了多少个领域的东西?是多少高精尖技术的结合体?一上来就问这个白痴一样的问题。再说先进水平?啥叫先进水平,满分 100 分。第一名 90 分,第二名 50 分,第三名 20 分,我们第四名 5 分,算不算先进水平?

然后我们用手机举个例子,中国的手机达到世界先进水平了吗?这点你先不用查百度,问别人,你自己感觉一下,中国手机是什么水平?

然后我告诉你中国的手机已经是世界第一梯队的水平了,你是不是又认为我在吹牛逼,然后拿屏幕、芯片、摄像头逼逼一大堆。

然后你如果机灵点你已经发现了,你自己是一个白痴。问出光刻机这样的问题,一个集多个领域高科技于一身的产物,全世界没有任何一个国家能够通吃。产业是没有边界的,你能细分出无限多的未知出来,。

最后我来认真回答一下光刻机这个问题,首先这个东西的组成,用人话翻译就是:刻刀、放大镜、软件操作系统。芯片的制造过程是 用光刻机在晶圆上按照芯片设计图雕刻。然后完成封装,芯片就制造出来了。

纳米级别的产品,人眼、努力、汗水这些文学用词就别扯了,你再努力能看到螨虫吗?所以芯片背后拼的就是工业制造能力,你要有纳米级别的产品制造工艺,你的刻刀至少是皮米级别的(这是常识就不解释了。)。简单科普一下,从大到小:纳米、皮米、飞米、阿米。

然后就是精密的光学仪器,说白了就是超级牛逼的放大镜,你看得见那个级别的东西,才能动刀啊,废话。

然后是软件系统,这时候就别逼逼什么手工操作了,全是电脑系统自动化。一个按键的事情,跟你电脑一键杀毒一模一样。

最后还要问啥问题?你先解决一下自己的刀头问题、光学仪器再说,不然就别逼逼什么什么时候,超越什么那些屁话。

最最后说一下伟大的华为,已经被捧上天了,任总一直在说实话,媒体一直在吹牛逼,说任总是谦虚。华为强大的是 5G 通信技术,而且只能说是第一梯队而已,算不得绝对的老大。再说软件系统、芯片之类的。软件目前还没有面世就不谈了,芯片华为强主要在芯片设计,至于芯片制造工艺,那都是外包,。简单来说华为在芯片方面能拿得出手的就是设计图纸,至于动手能力,那还没有。

更多人话财经、地产内容欢迎移步 V 信,吹牛扯蛋!

知乎用户 yyyhhh 发表

哪有那么容易啊,荷兰也没有能力自己研发光刻机,他的很多核心配件都是其他国家的,荷兰只是光刻机的组装公司,光源是美国的,镜片是德国蔡司镜头,还有其他部件都是其他国家的,光镜片,德国就已经有很多年的技术沉淀,超高通透度的,这些核心部件,我们要自己做,肯定不容易,别人不会卖给你,那些不是核心的部件,就算买不到,自己可以做出来,这些核心零件特别多,每个都要我们自己研发,肯定不可能短时间造的出来的。

知乎用户 朱建 发表

我穿越了吗?现在是 2020 年还是 2035 年啊。

知乎用户 知恩图报贾雨村 发表

破除知乎光刻机神教势在必行

先进光刻机≠先进芯片加工工艺

并且这个明年光刻机可以达到较为先进水平,信息来源呢?知乎 er 不能丢 “先问是不是,再问为什么” 的好习惯。

事实上在 EUV 进入台积电之前,国内晶圆厂跟台积电比,设备并不存在落后。不仅不落后,由于国内晶圆厂普遍是新建,因此在设备上比台积电的一些老线更为先进些。但台积电 28nm HKMG 良率能到 99%,国内 28nm PolySiON(此工艺性能差于 HKMG) 现在有 90% 了吗?

给我一套米其林三星大师的厨具,我也仍然只会做西红柿炒鸡蛋啊。

所以蛋定,我们现在的问题是工艺积累不够。硬件已经攒好,差的是技术积累。饭要一口口吃,路要一步步走

知乎用户 Wrts 发表

明年不知道行不行,明年是 2021 年,100 年前的六月,是个重要的时间点。

我对芯片行业一窍不通。

但是,就我这几年的观察来说,发现一个现象,就是很多事情不能太早的下结论,不少事件按照以为会发展的情况结果都发展成了反转。

比如,谁都没想到川普会当上美国总统,比如华为和阿里在走向自由市场经济的朝圣之旅的开始时,谁都没想到会有今天这些事情。(顺便说一句,其实,任与马都是非常非常亲美的,但谁都没想到打脸的反转者就是美国)。

当然,最反转和打脸的就是这次疫情的发展。本来以为切额诺贝利时刻到了,结果其实是自己核泄漏了。

还有,我记得百年未有之大变局这个提法有几年了。

是高瞻远瞩?

还是未卜先知?

所以一切还需再观望观望。

知乎用户 修车拿破仑 发表

明年不行,五年之内一定可以,不要低估挡中秧的决心,只要他想干的事,就是用钱砸也得砸出来

知乎用户 滴水梧桐 发表

搞什么光刻胶?有炒房赚得多还稳?美国股市实打实帮助一些科技企业得到融资成长,中国楼市赚的钱还是继续在楼市等着生钱。

知乎用户 漫步者 发表

中国现在造卫星,只要有芯片不是中国制造就不让上天。工艺可以粗一点,但是一定要是自己造。

知乎用户 鱼鱼鱼 发表

问些低水平的问题,你应该说 30 年内

知乎用户 yunfeng ding 发表

高科技行业需要持续的投入才能稳定的输出,以前国内被国外半导体产品牢牢占据了中高端市场,国内的半导体行业基本处于温饱线附近挣扎,相当一部分靠着国家补贴活着,更别提持续投入持续输出了。

老美的封锁,短期内是很难受的,但长期来看也是给了国内半导体产业发展的机会,而且疫情还助攻了一波。

28nm 国内是有全套生产线的,依葫芦画瓢也能减少很多的研发成本和时间。短时间无法自产的可以寻求外来帮助,逐步提高国产化率。欧美并不是和睦的穿一条裤子,只要有钱,有的是漏洞可以钻。老美的封锁短期来看是限制了中国的高科技发展,长期来看是给了中国发展的机会。

在航天和军工领域,老美已经眼睁睁的看着中国成长起来,还是不吸取教训,还想继续帮助中国半导体也成长起来,这真的是盛情难却啊。

如果老美学微软对中国市场的做法,那才是真的可怕。看看现在 windows 系统,office 套装在国内如日中天的市场地位。哪还有国产竞争对手的影子,就算是 WPS 也是靠着免费,夹缝中分点吃的。

不过我们也要认清差距,别看了几期厉害了我的国就飘了,高科技行业还是技术为王,需要一步步脚踏实地的干出来。

知乎用户 片叶 发表

都是科学盲吗?啥投入啥研究都没有,直接就变成世界先进水平,打游戏呢?

知乎用户 墨玉瓷竹 发表

如果不是单纯只看光刻机的话,中国本身就是芯片强国。上微,长江,京东方,紫光,华为,放全球都是很强的。如果只看光刻机,那美国连日本都不如

知乎用户 MilkeZhang 发表

想多了

虽然追的很快,但如果那么容易就超越的话,华强北早就干完了

知乎用户 仓鼠饼干 发表

五年内能追平现在的水平就谢天谢地了。

知乎用户 掰谎者​ 发表

如果用对人,绝对没问题。

CEO 必须是李彦宏,德才兼备,业务能力强。

CFO 必须是贾跃亭,善于理财融资,为人清正廉洁。

掌舵人必须选工农兵学园,无知无畏,敢想敢干,大方向不会错。

其他岗位社会招聘,毕业生过剩,划拉几十万人不是问题。

经过一年沸腾、窒息之后,一桌芯片大宴会亮瞎 28 亿眼球。

知乎用户 冰哥 发表

面对技术问题实事求是态度更重要。

人多力量大这句话不是任何领域都适用的,不然中国人口超过欧美日,科技发展早就世界第一了。现在国内国外学 EE 的很多人都转了 CS,半导体行业好几年前就被行业人士自称为夕阳产业。

如今和国外利益有冲突,怕被卡脖子,要自力更生,但是国内半导体行业就可以立马突飞猛进吗,也太不尊重科学规律了。

华为,台积电,光刻机,媒体提及最多,因为不仅是技术问题,还包裹了中美,中国台湾等一系列政治经济问题,所以被热议。整个产业链下面类似 EDA 软件等却少有人问及,这其实是一个系统工程。

能做到世界领先水平固然好,但也得根据国情稳扎稳打才行,技术发展本身你根本无法量化,从 28nm 到 14nm 甚至 7nm 到底需要花多少时间付出多少努力是没办法评估的,这不是一个简单的线性关系。

国家角度现在在做什么,国家大基金投资,支持相关企业股权融资,钱先想办法到位,这样优秀人才才能聚集,接下来就是脚踏实地一步一步走,最好就是逐渐国产替代,国外也不是一个国家样样都强,企图以一国之力对抗全世界是很危险的想法,能合作尽量合作。

光刻机是芯片制造一个环节,整个产业链远比一个光刻机要大,想当强国就要脚踏实地去做。

知乎用户 gaopeng 发表

这种事情都是新闻报道的,肯定是真的,而且必须是真的,但是你就是因为没有文化,所以你可能很久都看不见它到底有没有效果。

知乎用户 simple 发表

做梦的想法。芯片领域又不是只有一个光刻机没有几十年的积累还有人才梯队怎么跟人家斗。

神威 / 申微这种芯片突破只是咱命好参考高铁上面的 igbt 模块的突破。但是这个只是天降大饼叫你吃饱了能看见大部队背影了但是想着超越那还是早了点。芯片厂里面可能很多这里的人进都没进去过,里面有几个国产的设备,说句不好听的不说核心设备了里面最简单辅助配套管路气体电气系统地板空气处理环境监测调节系统很多都还没有国产化呢。

很多人不明白强基工程,什么叫强基,就是因为很多工业基本的东西都不行叫人家一卡就整个体系瘫痪所以要集中精力攻破节点作出凑合堪用的这样老外不会禁运不会乱开价格而已。

知乎用户 小刘的微信 发表

这么说吧,如果光刻机很好造的话全世界都想造。但是如果光刻机真的不好造的话日本的尼康佳能以及中国的几家光刻机厂商都得觉得你很有问题。所以造芯片用不着唱衰也不一定需要看好。一步一步来就可以了,没了 amsl 不还有其他的光刻机嘛?老是觉得中国不行的话 250 块钱你应该出的起。

知乎用户 李成梁 发表

较为先进的水平是完全有可能的。但是超越 asml 是不可能的,光刻机只是半导体几百道工序的产业链中的最重要的一环,这个产业链中,别人还有的是地方封锁你。制造一个别人体系里的最尖端机器,就是浪费钱。最先进的半导体制程更是营者通吃的游戏,中国没有能力重新做一整套产业链,而且中国的市场并没有大到足以抗衡全世界的程度。

贸易战本质上还是为了多赚钱,不是为了拼个你死我活的,中国会在半导体产业加大投入,形成博弈,最后各退一步,半导体行业完全脱离全球化是不可能的事情。

知乎用户 象拔蚌会走路 发表

同志们,我们现在只落后一点点,加把劲啊!我们一定会超越的!

知乎用户 花冠泪 发表

那是不可能的,正视差距好不好,不要在网上看几篇营销号的新闻,就 yy 这种东西,一看你完全就不懂光刻机,完全不知道光刻机到底有多复杂,不要再想这 yy 的事情了。

知乎用户 独倚澜庭 发表

不能,有了机器只是第一步,工艺更重要

知乎用户 解码芯片 发表

哪里来的消息,就说明年可以了?
即便真的可以,EDA 设计软件产业链关键技术壁垒还是被国际大厂卡得死死的
想要自研芯片估计还得几年

知乎用户 刺猬手套 发表

梦还是要做的,万一成真了呢

知乎用户 LemonKK 发表

钱,就是国家愿意投多少钱。现在国内面板行业能有倾销之势,是困为国家投了大量的钱,且能够买大量国外设备和技术人员的情况下。光刻机,绝大多数部件,限制先中国出口,技术人员也是限制。想国产化追上世界先进水平?台积电那样? 我想是不可能的。这玩意吃的就是经验,那怕现在送你台,你要怎么用好都得花几年时间。不是先进工艺谁会用呀?你说 28NM 可以将就用,问题是你 28NM 贵的要死,和别人 12NM 一个价,谁的钱不是大风刮来的。

还有最重要的软件问题,美国垄断,国内这方便更是薄弱

知乎用户 snow798 发表

整天光刻机,梦……

除了光刻机就啥也没有吗

知乎用户 粗心的花盆 发表

这个问题不是知乎上的人能解答的

知乎用户 矮子望天​ 发表

短时间内,绝对不可能。

连圆珠笔上的小珠子都要大批量进口,更何况是制造芯片的机器?

人家经历了多久的技术沉淀,经历了多少次碰壁,更重要的是人家砸了多少钱?

我相信将来一定会有中国自己的世界第一的光刻机。

但是这个问题绝对不是近两年就能解决。

知乎用户 知乎用户 N9poO7 发表

光刻机研发人员买得起几套北京的房子呢(逃

知乎用户 哥伦布 发表

光刻机是一点。还有很多其他设备啊,蒸镀的,测量的,刻蚀的等等。

知乎用户 子远 发表

达不到,只要没有经历过自己写需求自己创造概念设计再落实设计这种从头设计产品的国产,是永远达不到先进水平的,只能在先进水平后边逆向工程。

知乎用户 木白 发表

援引一则新闻

2020 年 4 月 22 日,华为任正非表示:华为的操作系统要想超越安卓和苹果的操作系统,可能需要很长时间,但不会超过 300 年。

光刻机的落后,相信不用科普大家都清楚,需要至少五年十年起步的积累,才有可能谈论追赶。这也是面对美国制裁,我们别无他法只能默默忍受的原因,这个领域我们说的根本不算。

营销号们无脑传播小道消息,增加了阅读量 + 增长了国人士气,从某种意义上来说是不是在爱国层面也起到了一定作用呢,哎。。。。。。

知乎用户 天才小熊猫​ 发表

明天就可以,emmm 今晚就可以,做个好梦!

知乎用户 飞火 发表

想啥呢,除非国家下血本砸个上万亿进去,估计一个五年计划的时间,差不多能把芯片产业链追上前列吧。。。。

知乎用户 han han 发表

呃…… 知乎为什么有这个问题

知乎用户 道听青年 发表

不可能呀。

国产能做,但是做到 7nm,5nm 还没有那个能力呀。

落后一两代产品,追了那么多年,哪能一年就赶上的。

如果可以,华为多备一年的货就可以了。

如果可以,米国的制裁有何意义?

知乎用户 h 汉唐气象 发表

当然可以啦,耶✌

知乎用户 不坠青云 发表

不能,因为现在别说 euv 了,连 duv 都造不出来。目前国产最先进的光刻机是上海微电子于今年 7 月份搞出来给青岛的,最多只能做到 65nm。对应的是英特尔 2006 年的水准。明年如果能上 euv,那么怎么着技术要求更低的 duv 也该出来了。

知乎用户 理小子 发表

光刻机,夸张一点说,决定了中国是否实现产业升级,能否摆脱 “中等收入陷阱”,可以说是中国的产业决胜的核武器。

这么重要的东西哪能这么容易成功

知乎用户 真二世明王​ 发表

摸着石头过河,

开拓者总是艰难的,

而抄作业总是容易一点。。

说一个故事。。。

中国最开始研制核潜艇毫无头绪,

然后中国的外交官在美国的超市里买了华盛顿级战略核潜艇的的模型带回国,只要 1.95 美元。。。

设计人员根据模型一下子把核潜艇艇身改成水滴形,并了解了艇身布局,少走了很多弯路。

后来美国发现了,气的不得了,专门开听证会质询这个公司。

结果设计师说。。这个内构是他们自己想出来的。。。

没想到一模一样!

同样的故事还有核弹,航母,

有很多研究其实就是少那么一点点,

只要有了关键的人,或者关键的技术,

就破壁了!

知乎用户 萨克斯计算机 发表

我觉得可以 光刻机又不是核聚变缺少理论基础 现成的东西 真正开始搞也就最近 15 年 智能手机时代才开始重视的 再说根本不需要赶上 我同意前文 28nm 就行 汽车用的

知乎用户 koji 发表

强不强看你怎么定义,如果说不是第一就不算强那肯定没戏,但要说达到全球前五,那么现在应该就能算吧……

知乎用户 pamgu 发表

事情成不成,要看人怎么样。别的单位我不敢说,上海 S 厂我只能 “呵呵” 了。他莫名其妙欠 2 个多亿,这还只是被法院确定的部分!提醒大家不要脑袋发热,别成为人家的韭菜!公众号 “新下级学” 里有篇讲 S 厂光刻机的文章,请大家自己判断吧!

知乎用户 安然 发表

光刻机简单

难的是

光刻胶

目前为止,国内还没有能力生产这玩意儿

知乎用户 马天迈 发表

看完答案,没有一个把光刻机复杂在哪说出来。。。那 50000 个零件究竟难在哪?连一个供应链上的人也没有?

人在美国,刚下飞机的人哪去了?

知乎用户 星辰 发表

静态地看 smee 是没有意义的,因为 17 年之后 smee 就已经不是以前那个 smee 了。

知乎用户 千叶叶叶 发表

首先,我国芯片制造设备落后于先进世界水平那是没一点毛病的,对于全自主知识产权的国产芯片产业链肯定不能持乐观态度,差距就是差距,需要花大力气去追赶。

不过也不用像某些答主回答的那么悲观,我上学的时候,中国造不出的东西可多了去了,什么高精度车床、铣床、固晶机、研磨机、纺织机、加工中心,哪一款可都号称过自己是工业皇冠上的钻石,现在回头看看这些设备?

只要有人力物力投入,国产光刻机追上先进水平虽然很难,但也不是不可想象的事。

知乎用户 照妖镜 发表

光刻机问题不知道是不是因为专利问题。如果真的技术达到,只是差专利,那还好办,就怕缺的是技术

知乎用户 hunter 发表

不专业人士回答下。

我认为事物的发展是有一定规律的,例如开展 - 运行 - 发现问题 - 解决问题 - 再开展,逐步的细化。

如果你没有这个过程只能说明太聪明或者建立在别人的基础之上,否则怎么可能一下子就实现别人无法实现的目标?

别人累计几年甚至十几年、几十年的经验才发展成这样,你一下子就做到了?

最简单好用的 VPS,没有之一,注册立得 100 美金
comments powered by Disqus

See Also

中国有可能研发出成熟的光刻机吗?如果能,要多久?

知乎用户 5287 发表 更新一下。 原题问的是光刻机的突破,但大家都更关心的是是更大的议题。正好今天看到一个视屏,是 CCTV 财经对话节目,里面有专业人士谈这个问题。希望大家感兴趣,推荐大家去看完整版的,2021327。 专业人士谈芯 …

中国做不出高端芯片(7nm,5nm),具体卡在了哪一步?

知乎用户 蔡小帅 发表 关于这个半导体到芯片,一定要搞明白研学产一条龙的模式。我们姑且把各个环节的参与者当成话 众人开始扎堆讨论,TMD 美国人不能信啊,不能把命交到海外供应链里。 于是,工信部出来讲话,要自强。发改委财政部出来给支持。中科 …